Homework 6: Added EX 2 (done)

This commit is contained in:
Tommaso Rodolfo Masera 2018-11-07 16:23:16 +01:00
parent d40d26c276
commit 458ccbe241
1 changed files with 214 additions and 0 deletions

214
Howework 6/2.circ Normal file
View File

@ -0,0 +1,214 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<project source="2.7.1" version="1.0">
This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
<lib desc="#Wiring" name="0"/>
<lib desc="#Gates" name="1"/>
<lib desc="#Plexers" name="2"/>
<lib desc="#Arithmetic" name="3"/>
<lib desc="#Memory" name="4">
<tool name="ROM">
<a name="contents">addr/data: 8 8
0
</a>
</tool>
</lib>
<lib desc="#I/O" name="5"/>
<lib desc="#Base" name="6">
<tool name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
</lib>
<main name="Test for FF"/>
<options>
<a name="gateUndefined" val="ignore"/>
<a name="simlimit" val="1000"/>
<a name="simrand" val="0"/>
</options>
<mappings>
<tool lib="6" map="Button2" name="Menu Tool"/>
<tool lib="6" map="Button3" name="Menu Tool"/>
<tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
</mappings>
<toolbar>
<tool lib="6" name="Poke Tool"/>
<tool lib="6" name="Edit Tool"/>
<tool lib="6" name="Text Tool">
<a name="text" val=""/>
<a name="font" val="SansSerif plain 12"/>
<a name="halign" val="center"/>
<a name="valign" val="base"/>
</tool>
<sep/>
<tool lib="0" name="Pin">
<a name="tristate" val="false"/>
</tool>
<tool lib="0" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</tool>
<tool lib="1" name="NOT Gate"/>
<tool lib="1" name="AND Gate"/>
<tool lib="1" name="OR Gate"/>
</toolbar>
<circuit name="Flip flop">
<a name="circuit" val="Flip flop"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<appear>
<path d="M349,213 Q345,231 340,213" fill="none" stroke="#808080" stroke-width="2"/>
<rect fill="none" height="68" stroke="#000000" stroke-width="2" width="30" x="330" y="212"/>
<text font-family="SansSerif" font-size="12" text-anchor="middle" x="315" y="226">D</text>
<text font-family="SansSerif" font-size="12" text-anchor="middle" x="304" y="255">Clock</text>
<text font-family="SansSerif" font-size="12" text-anchor="middle" x="303" y="276">Reset</text>
<text font-family="SansSerif" font-size="12" text-anchor="middle" x="375" y="224">Q'</text>
<text font-family="SansSerif" font-size="12" text-anchor="middle" x="374" y="274">Q</text>
<circ-port height="8" pin="70,50" width="8" x="326" y="216"/>
<circ-port height="8" pin="70,160" width="8" x="326" y="246"/>
<circ-port height="10" pin="560,90" width="10" x="355" y="215"/>
<circ-port height="10" pin="560,200" width="10" x="355" y="265"/>
<circ-port height="8" pin="400,250" width="8" x="326" y="266"/>
<circ-anchor facing="east" height="6" width="6" x="357" y="227"/>
</appear>
<wire from="(370,220)" to="(440,220)"/>
<wire from="(430,150)" to="(430,180)"/>
<wire from="(270,240)" to="(280,240)"/>
<wire from="(120,160)" to="(120,170)"/>
<wire from="(430,110)" to="(430,140)"/>
<wire from="(120,170)" to="(230,170)"/>
<wire from="(270,50)" to="(270,240)"/>
<wire from="(220,150)" to="(230,150)"/>
<wire from="(400,200)" to="(440,200)"/>
<wire from="(490,160)" to="(550,160)"/>
<wire from="(310,240)" to="(320,240)"/>
<wire from="(310,90)" to="(320,90)"/>
<wire from="(490,140)" to="(490,160)"/>
<wire from="(500,90)" to="(550,90)"/>
<wire from="(430,150)" to="(550,150)"/>
<wire from="(500,200)" to="(550,200)"/>
<wire from="(70,160)" to="(120,160)"/>
<wire from="(370,70)" to="(440,70)"/>
<wire from="(550,160)" to="(550,200)"/>
<wire from="(310,200)" to="(320,200)"/>
<wire from="(270,50)" to="(320,50)"/>
<wire from="(550,90)" to="(550,150)"/>
<wire from="(430,110)" to="(440,110)"/>
<wire from="(400,200)" to="(400,250)"/>
<wire from="(70,50)" to="(270,50)"/>
<wire from="(430,140)" to="(490,140)"/>
<wire from="(120,150)" to="(120,160)"/>
<wire from="(120,150)" to="(130,150)"/>
<wire from="(150,150)" to="(160,150)"/>
<wire from="(190,150)" to="(200,150)"/>
<wire from="(550,200)" to="(560,200)"/>
<wire from="(430,180)" to="(440,180)"/>
<wire from="(310,160)" to="(310,200)"/>
<wire from="(310,90)" to="(310,160)"/>
<wire from="(260,160)" to="(280,160)"/>
<wire from="(550,90)" to="(560,90)"/>
<wire from="(300,160)" to="(310,160)"/>
<comp lib="0" loc="(560,200)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="6" loc="(28,166)" name="Text">
<a name="text" val="Clock"/>
</comp>
<comp lib="1" loc="(150,150)" name="Buffer"/>
<comp lib="1" loc="(370,220)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(37,57)" name="Text">
<a name="text" val="D"/>
</comp>
<comp lib="1" loc="(310,240)" name="NOT Gate"/>
<comp lib="1" loc="(300,160)" name="Buffer"/>
<comp lib="6" loc="(178,194)" name="Text">
<a name="text" val="SIMPLY THE BEST"/>
</comp>
<comp lib="0" loc="(70,50)" name="Pin">
<a name="tristate" val="false"/>
</comp>
<comp lib="1" loc="(370,70)" name="AND Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="0" loc="(400,250)" name="Pin">
<a name="facing" val="north"/>
<a name="tristate" val="false"/>
</comp>
<comp lib="0" loc="(70,160)" name="Pin">
<a name="tristate" val="false"/>
</comp>
<comp lib="1" loc="(220,150)" name="Buffer"/>
<comp lib="6" loc="(183,134)" name="Text">
<a name="text" val="BEST PULSE DETECTOR"/>
</comp>
<comp lib="1" loc="(500,200)" name="NOR Gate">
<a name="inputs" val="3"/>
</comp>
<comp lib="6" loc="(297,24)" name="Text">
<a name="text" val="D Flip Flop"/>
</comp>
<comp lib="6" loc="(592,96)" name="Text">
<a name="text" val="Q'"/>
</comp>
<comp lib="1" loc="(190,150)" name="NOT Gate"/>
<comp lib="1" loc="(500,90)" name="NOR Gate">
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(592,205)" name="Text">
<a name="text" val="Q"/>
</comp>
<comp lib="1" loc="(260,160)" name="AND Gate">
<a name="size" val="30"/>
<a name="inputs" val="2"/>
</comp>
<comp lib="6" loc="(390,291)" name="Text">
<a name="text" val="Reset Line (Not clocked)"/>
</comp>
<comp lib="6" loc="(179,212)" name="Text">
<a name="text" val="BETTER THAN ALL THE REST"/>
</comp>
<comp lib="0" loc="(560,90)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
</circuit>
<circuit name="Test for FF">
<a name="circuit" val="Test for FF"/>
<a name="clabel" val=""/>
<a name="clabelup" val="east"/>
<a name="clabelfont" val="SansSerif plain 12"/>
<wire from="(210,220)" to="(220,220)"/>
<wire from="(250,220)" to="(300,220)"/>
<wire from="(170,200)" to="(220,200)"/>
<wire from="(170,170)" to="(220,170)"/>
<wire from="(210,220)" to="(210,230)"/>
<wire from="(250,170)" to="(300,170)"/>
<wire from="(170,230)" to="(210,230)"/>
<comp lib="0" loc="(300,220)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp loc="(250,180)" name="Flip flop"/>
<comp lib="0" loc="(170,200)" name="Clock"/>
<comp lib="0" loc="(170,230)" name="Pin">
<a name="tristate" val="false"/>
</comp>
<comp lib="0" loc="(300,170)" name="Pin">
<a name="facing" val="west"/>
<a name="output" val="true"/>
<a name="labelloc" val="east"/>
</comp>
<comp lib="0" loc="(170,170)" name="Pin">
<a name="tristate" val="false"/>
</comp>
</circuit>
</project>