From 71d46ca8b5ecc4dcf1600b5bfabaa10c1a8570fe Mon Sep 17 00:00:00 2001 From: Claudio Maggioni Date: Mon, 17 Dec 2018 14:55:19 +0100 Subject: [PATCH] HW11: done 2 and main document --- Homework 11/Homework 11.pdf | Bin 0 -> 25170 bytes Homework 11/Homework 11.tex | 34 +++++++ Homework 11/ex1.dia | Bin 3231 -> 3381 bytes Homework 11/ex1.tex | 176 ++++++++++++++++++++++++++++-------- Homework 11/hw11_ex3.ods | Bin 14519 -> 16414 bytes 5 files changed, 174 insertions(+), 36 deletions(-) create mode 100644 Homework 11/Homework 11.pdf create mode 100644 Homework 11/Homework 11.tex diff --git a/Homework 11/Homework 11.pdf b/Homework 11/Homework 11.pdf new file mode 100644 index 0000000000000000000000000000000000000000..ecf6ddc98b0420aea353d0ff8e27584de543350c GIT binary patch literal 25170 zcmbTcQ?zK!(x$m=+qP}nwr%WX+qU+yZQHhO+g5+oqpRzjs#7)o?wdJg-ek@(--yU~ z-b_*j5iwduI#wvsqr2-jC`L8{1_FB{D<~cwdNE5IXH!RdF&jf?QxQ{RdlOT78B;rR zXA1&mHWpSsJ}4(=M^i&vDEEzPUFkRyPQ;!^>W4s(Ft*q*eTyY<5`m4)fvr?t24)rr zzy-(s+eaT)KAmmWMVV=dZRO;ON@nT>xJ^TDJ-E`eTr^E0z6KOsDZBiZ<8S`7-7a0< zXKu|U;d0T`R`{r;cqhFZDcIumhnGQkd!r@((R30qzp%>DbpE|fBbH&-on1{j3DVm) z=yHc<@S+uBRKu=YPGUoAx`bD4-_J=!s7I9U*0<0)uOxQj+|y6|UEfxTMuU}9Zc%9~ z-O7yQ-JHEvd+|-@1SFNjMq5g7dW%eV*m0BTluMs-``Ci_lvjUi`i~hRXnFXA!aBD@?wo^d^QQOk%bZDMr5H08HsuX?q}UVmECbazceDR zDTVa|@kT}h`al=r z6?th1g5cNpuALHv=0L4+Mp`EG7smbDA|tugE`urqh}baq2d|$`>K{;RR@z@pu=HI1 zy^N>X-e0cW_G5$g1bzuALMxd`e))dcAk}fp`*flDL_{lf|XC1z*t&!tf=5hO@@7?jw(TqR*)qZZqQ?+S)bG^S$G8~!2bi1_t zc}a7kftEz+q*-)XwBStAv?vP5%?kwO43CWET;fi3=W|AvfzJ;6L4^sMvA1m9y}u$E z=2&;%AN#w~$RwdEsG3eNDc^38f@23^fq&|z@_D>JK^)-^isYd$(h|ayN~xhrbd6*j zgA?I_dZFXPY6Yr-uGJS2a1XPBaJf)U4EJ%e_7%pY#uY@ZKsKYM$L)EBV0bG{pbgO5 zWf){`3J|pC4o-^NNtZ-FY<3lA`ntUd()SmDRFqpWssDyyVomA<;D&yQtGbk8?K$@}(TB&!A`;US! zVN@-Ikc>L`JS**mjDvpSX%RP|g_TgC$CfU;-i&CFYr2_?9Yr#DIbj410^X~NT7>#u zR=+72m7halu7QM->CvQinVe0rmfFoyP8m>Q&{M7=NK1Nv1#%iyfK+E$B5&n4ovKVk zy98dN<^}rtgOUziSdKszcbAQ@rS%R{V8?dc?3K%MPdH8ESNRBe3uQoqoW+dfN*yhoTV_|)8osw2G7d5IA~svR;>n^g|a>_>jS)OFs!`<%++!+;FS*S-z^=P9%>f| z-Z}FI%+}Y5?g+y4)Q27PMt^5weuC0Tcp{T#dqEacvtsA`NEfK9gu;CTqgp!oXJqL( zjK<^0Be==dxdbD78leK7;L5bK3mb0-J$VXp-9hkv4KI>TCfA$=W#Bg(dj+?(d-obZk; zEqoY&!7QA5Ew#6(Q|>hp59wKTeALaCmj_nP(1(5up$KfpxA%%aI@o!54y^rdN;^G= zzjPjOlVYtV?IvbkhC%66p0t9^f|hZ7n%zLprmyWreuhAzwq$;ZsxW*3`e^UPfLM2uIa)GV{qsR8 z7;jA=rhS=`nF#Wr=`--AB=i0VCh*HsOE(W89F=P*FUA~^0`$t-ndb=JOTIld*VgK3 zCHKl8=)${o`wI7)rM2fT8TtmG+PEmHQFs0`n+@(CoXSA}1`O(*tD?e8$(wH|ZL(6+ z&VRmw=&hsLSMxlHQB>*hs+xAJ_@cS@*YAEnpxGf3+B7(L1-m^bg1CQ-y#a-B8aGI&nkW>i8hERiHoklBh0GXtP;!BIp@$kc>zxN-UIor6^G{HuJhab^G?1BC@n3 z0I(Y1E1!P3Z|?%gAQatjjaS$NlV&qn2QG`fD7*=*xjTS$2~y0BQ^h^uz(bBIUYS*~ z4v*uXL;xh>*lQZj?-R?YH;QdAeJ=t7uj~AJ-N3sm*9G5b6wxsDgFhmFYA0#=5W9$y z_s?gska%O(bQ%U0N9+#f8w}&TGDg%1?Xw?+%2rr?gA%a>RY^rhzV>0EO zk1N=E0z$txuJu4h$+d#nvg!{3$I)KPy2@runj1O{u5Dd00BExGq_|6YKVJuYtw{w_ z!biwYI7d2v&aR~|c8QLj$10>JQClBO;n@z*ol4+hhqtmLPfOA}K1=@OfU%!trU#_X zsh9he1ytD>wsDqtQ1zG`hU^EFhEb8Mm1+aGiK%1w2TL$_J+TZ2y`Bdc^C?q<&$&8j zNT*uPIS*HZd`%DVWVhdkvC*OX&%iln2v&ZB{P8UV*f*ZbcE5NgT=MDP-hT$+@)S;z z2G9RgI`P9a_^KM>oDOVRbT2`+96wMo<$}FfzUY#&))+~7aT%D6YN^&q;ggy*3n_-q z6hqjEn)8y~s%4dm(1AO!$+0{xjTN}#)NeJ|sY2(=IgGl#GdxlkN@{pGI@Iv!|;>5ECq@d=$BD>4@FbF)dpJ*PZ(V(-MXn$zcdxYeTjjg zW57J@nDjRe5(HNdL)A=G$fZ|Q&X08hXm!nNF|R$vH7e@|-*{C^Vue=nSZ%TvmGgU{ za)(vp@&ym3H_OhDY<3D4ej$y^5U+B#z%l2ZfSIJDX}kU#ss(##Nr9A=BENjJK{eW! zPJ9Vv>%-AObXBN#sTfkY&iZvhVYkSsEMJmJGu^KW-(uHhw`gIauuvdD$jP-2gxGM+ zH^Jc!tOzxc-|GmE$}=*O^cKR3me(vtj?!*54GN~&-%i23T}07glc5f650cWVICfU6 zGG;lPc&5$K9F6!yX(qY>Z}oc|6U0-s-bof47%i-DXOVX0Jfb_0?h26f=^>*#(8I$u zq2@EvT0*NOggLByxg^gMbC6L>);Lq9_x#g1$AqTV+;8fRAKmNd=NTk)-gXaB+PKYc zX>CWsE_9;?*ORQUH;0x;g_dfGtO5IGHZsv`m1|dOq3o~~WT(`zL9~dHgOXkHX-~hB z`^>V(QFV)26oQ~6S?{ixxrDW^JS26cPzMhqyAz##h!1DTHMVrJ!02M`fC{iJdWB<>tq)Y zYuF6BV)fHe<{sNg)3{K=R)@6x4_e>>rb_jUQ;SPI(m zNwq4bjYD*a%4cfDH5XpixUcRD;mNLhcS-=`ky5QYgNvW|P3=HY^{)3_CKxU<8(Qlv zrlyjsvz0BLCqeJaoQH)$O05@Vb1#3I-JyyUw*#w5{uUWG7TB6A?%m^htp&mIP|iM+ z+(jm-J<;1Z8O$|Y=<_z7`T;AwH@%t6rgZV6x;5I*PxFrVZ^WT;gP7g-`b@sZtH)?5 z!FS_msR_7WSw{-N<~-RZCZi5RWn3)B{KiLT_Q*Oh56Q4P-WvMagLCYZ#Dpmu^(MWK zn@IbaGt``SxNI(=3-uSyJ<8Saq~# z4S3BF?5yQxi<5aQ*3J2svGo@D>15p?hf4V;PG#?7J-zkonlF_M|FgvEpg-P<+`g19 z|GatOn5P{qJitLeqbcw5De>v5Hyy2*CN^!(US(QUrq}>@9x)W?7=&W$47$l zebXXOE)u-_&TH)Z^6kPn&Vj8SccuJ-e$&&Ver&RbT)B>H;M7U5Q)jYv329edo5UunrnD`b=q0tvoEw^C+rK)??o2CzlWWz3q~ zyQRH9L7Ve4w3~>wjN$0OOFmz;l9X1O;_aHk>TEgQx2znu}NjmKd&!9 zv(?ZL2*vFvJ*X7PDyHZZ2Q1Bt3(ujk%atwe%j~tlly<^tbqw+85G)!k6I(I1YfK$L z@86Mln!gmbd@bNOa?djznncrh#%{QcXjq>saX*0&60@<(HM7=lo0zu)43f*{GfLv?FJBXt4O-YsG@xJ4HKW@Vid996(M?X z4Q(w0k*uS%tfZ{pcihBy z35Y}zRIY8s?3*<~pQQW-D)zGaJ!L;`rXQYt2O;-B6xS#aEgBxGbyA1&fRH)9R|p1+ykb zS+UlPW~}Y?6Oct4`^Gvu{Kj9)#?9-p+Oj*(?qe})@%HWI9~(=|!{)Z>=zwbj-R!Ce zPNUZLjI?OA)OA<7-I5(U7x%5ID9eUSjkFj72}#C#lycIGhD0SHNgkoH@KK2JZ~{ok zdclhET9N28A3~LQP5YC1{@gr@Uu^jrp9sBd(G8s83`CVG5vIXvFx^4ItT^a}u*LJ| z-Gua!&Oe0>>gb|eOYyN;?TMadyE&`5Ub5ZE_teDo35pQPN#6+o+wl1T0>ATrHvph@ z6@Z`lU1!`6v8LRM}XH6S}9LFD+_ix!4_n z(a?MYIp2GV)Jx!p)0X_Uq*KSRKtmj*1Het!$7VnhzawFIl=SgW$Gsqz9vd-Gp;nV~ zpXuOYfI}V@*yVE%1_W8bao)f)xp!bV^ATeob&YRh9T`AW00McfvJ&43I0VBC-8uN` z>l_{UK-2cUCpBI*7ym%ltuw9dJ~UB@1ZAZH`i_^>DVbnlmF)R{{hN#Po{n4`>}n?X?}{-e`uZwgFt$dHNpf5GCjY(G$FjZB4fd z&}J1iUG-aa5PatRz%-1GniZ{a&`F=zU+oz?zK_p{fN5VNw^ zgN%(ULd?j|$k3Ln%+-xkP*T<{tkToduS!qRu1wNPwJt6xQq0nfD?mum%F$9u%PRhv zoTXO0lb(`Q^?wtF7velUMN3XCLZf^;DJ?=f2GpGy8X|ynzJVHX1v4W969YpFl@oi$ z$MxnvmD9W%bmKd8d&WPW;wR~?Mf%2l+NRm<~JH#v$H$0 z3rqa!^ZIi`%V>B|g^5h|^7QiRCV!B=!c(kQ)85(LogV;v7Csnui?;(Z6dmSw!SpNh ziAdOyXDSt?KhmvQ&d~DH87|$H!wKL(pS(-&d5MtV|0C9qWko}cyT1T7`46oumbsH_zA;6G#3 z1jH4e8xWC@AbKtizWX}7ZI6N9ZTNH3sO>Cz|8>yyaB$Eyd>o}drQf9yTWiw~e_Fm+ z;zO^|_rh&R)ro^1_3n)~Bgvz~%d-XDKJ$k@YiRKCJ0AkzP{g?MKS;syKcx6SSoR$M z_V0BQx1|Od{@Lq4QQB1)*eqM+3{jk&>Y(}md;r)wask^SC8}&~X8yzEG`9O`znq-8 z$2V>KR;Uv1C(#^&1VIZ60ql*)0-hYyx_6Nhq(UW6=*s3%j;~wmX?8$L3+vomSo1L~ zeY0gf0cz2^v<$E+RQP8G&UZ^1bNfaC8}0Zhd50+ayOy(<*V7dCvKV$4#!rujeb_{X zeGK^XVJaDMpNqrb>9L*+CY`hpl)J`id~ML}p7AANm&aGjl7FOf$CpoUlI7$Yn~7x@ zK?fpRWLF)kq%k0OT!C~-XBBLW;i^bCg}pa`n!ZZaQ9GW4-Y&L`X7CF+jj4C&RCo!N zCCXSOSjBB)RPs~LA)C32fUP7dZ9L+Z2zZH(AwET{qvvqq=W0i$Rw_lXQ_Bn`P?5Ck z7-lgj=Aj^|D;h)ZFRog}rK=2+?AHm7-OIsd+!79~mdeZ9y`;U;LOwRh8M)EJl3VCv z{4$ak?po7V)`qEldSSEr1^$DAtp61SSs0lAHG6au=k2l>5JY$1q4-ObbYW=f64WFp zGgDp&ZI@;F`m94~k=9Zf6<=GR^U6FSTctx zjnq3}xyBt=U?;?(>{%r{-ZdXGeCjwi3)ERu2BOXD2e$#bG?di$3i?b3N~V_ulq zQ=59V4_w=0gANXxc4lOh%vs%>wZ+bTF?RjXh3;BcmDTEFJ)1mrFE#Mqy}P!|v}!QchK@$uR0S}RIO9c3vixM&eZ^Xi_k1ED(nR2 zB(wu($3s#%DnJT_8NpW|RtnS$<659!;t6^od|Vea5L5hPQ8ip}Dr5x65oBrh*+OQM zZmAI|;6i4wZq=w^9DxO`HrO5e5ElaVZ4C6cIF zlK9xjugMbMKb=q%Xp_mT+2a!wzY<;4|7o4s$!z5C>knU!EWXCirnXOhU&l^U4jH$X z?3GmOj!B4#ZLM&@+p6(l!`EG*1Ak_M#?0yXWB-NOUce9RxBh_=$|mYaZ0k3QySZ$7 zn~TZ7Hlo|3%NZ;F$nmqQjSOLX=_U`$PbchlAwpnpZ#LAh)&;?H%Nt|H+dsmV3LLIG zr(U~a8o%d~Hd4NEd%4?Pr}R`?_j*hBu>T{hZ`)AHar{R!#Pg#g~;H4w@68O1-{hDgmS?UTI5IktyQ> zWNM~FF^Fm&R*`_Vh@_WfK3FlurbH&nF=UYdJ%NbgKRywKI0BP$ZJzoWp_Hn@El8kH z#0K?}9^@REQZ@vugo&=8u8<(4Mu9mL6sl)Qo&vciP`)&jX4*Ks=Bh$j68?u$;R_b) zQaNA94oz5=oD=Vj%NW18&g>4oFtWW6&Zvu{r*LlCux6|0@GU=b{4W205S{(MlFZB; zoc|{J!e(qH0|MBdSI$71b~zmwkYq80Wg3eTou@+MCqOJ_Lu%hYZ1cCZ_CD^V03x0b z-~4L4bMoBC+2EbtemMmQyXl;F+VwtiE#_v!M0DJE00<9aj&t7(;fWF)7B=okJhH|M@|=;+so66 zuJWXdgIy72Xurrxi)A}dJLTr$RS^;WbasPrv|!Vfd#rqB!ujtdJ+(|H?1k=!i~IcW zz7UIOX9Z1?E2&Dp{UWrApt(hwilLseuvb|?OF~%DHroUBk^uc2;6vFDm~gpW$P zR#%*Q>IDPppr`%DoOn6c<%;I{C-^MIaPxmq@?RS3|9$#0GyhLzdDAYK03r0&2a0a9 zNac^b9!Api&0qI<;fot!g6LF{00Oq{)>{AdC@j+Vbia{Y%mrniniOY>@20=(dkW+! zbqwe0jsmn#N~A2pLXNFU8t-E=i8(vz9_GY(D6>h!K_@nSaK7O0z#POZuMDmlW8?dB z)a-_~0_~lEHYcv}IPixLv09dY4WYkz7(Od>Uxgt0TdhT3B?Qoaopl!!S{!}sZjyrP z)w0Dz69|%hX-CM+Z_mytoS|oZZwI03l%CJdU9AS>n6a7r+S&w2C?^$SM+zNi4Va>X zOe?~QGAKiKQ89+2jp)UIry|Yn~0*>S=L{Lsob{!3S(xW zcJcpC7mfd~im?2Lu2Wc2M9kjK`M;SR4F4yygNc>p-|B3PnwJW)I$jQj84~qm%aF?S z-js7QpPHzEb+uZnk|1cVK=leZQ7{Pz5-B7gXp(?G341UP1fhSI8XzT-0yXNAl6GrT zi<+!_tDV_#u!sR@(Q?bhz?t55H(Z#xeS5zyhi`++TX zOHX2l*Zc|Xxh9pY_g12ai?5u85is`P3|In+v?0y3@i-;Tpn0iyo=3tLcLE|Z_*^lD z5e=D8$m|G%-p;M?>PqRJz!831r)90k3@q#$QSLAV9=((Z6a1br=E`vyycGMfgsN6Z z3ke|`o!$H7g)nIdREEwZ$*WxFD1 zqd>J0oYb-AdwVoj+Iakpku#QMerN(}g-aL7m4W6&vu>P*Vf&x}bER$-!g|K(%m74@U23bS*~UOvaGTsJ^GJa~|N^nPgsNuy+#u z(O&fYh&F%z2=)C|2+n(T!F&XCGpOG54)Y4k7qJ+8JLK?Wd1$XQU7Ks$1{*SWxxY>c#g}`SphycdBjrmeaBpFewiNG zH+g?KEK9>03{(U`3VR$Ij5`psb;wdM4PGt7CHIbdz)QbA?2yOz!^FyiNX9MI^QtBd zTQ+EyGKu0@W~dks$IQU3hT?Z2wr;;6lrGBlbJ!kEA=qr6-gln|75V1+%p0~Gjs-=M zrWFnwxP@zHu50H>`nJ-JmX1)RkB2S~x_s&UvT@?mSz0MQd2-Ur6WVwhn_M^M45^Oi zq#wX_euG!AGym4s_EyoeGKZxO5=EPgd$um8%vE^fx2qoMgO3a76|f1z=f4%zeI^A` zaaX)=K%C%Tf3BfVcm2NV9xVj@**>fUXwR$5E1MTt@ou^0B(vLJ!o#d~mG@+c<_FeD zo|v>)UyyW`-gCa6TskV}?Ovq{MsmRrf--jdl5;)u9dzpS;iJ9-4Qa;;=ai`bnhzVI z*&sc!bk4ruKTcRKfwbz7y7(Jz5W8=0ImiD^mmPx{(eXU64yf9hldq480JImz z#~t>J7Hl&C838Hu%3A|_-xch!0IUpvHKN#I3q?~RBG>vc;?as$+tG(T1mAIa@fB%Z z?ZoAa*j%uERN!4^^WwxDuq|@4-8EB7ESQF1-|9BY--j$X4E~nIy5BaMcTE-!e-Ov5 z&h?e_-Dxw935vzKJv4Fo#&#FO4+OIh)yih0F}n?m+7XqI9pw-?J|m;?V?haZ;Dp8Fnjg+(n&sv8({JdA zZs?agFud9tHO|eB_R+XIUUssF^=j^;Y$S>HDn zEpFx2spdEwvajpB>`RcNcpj&0bRsL+H*TNfw>jYkA(iUcu}zY~9^Q_D1W`2efUa-n z9<^GQG$`A&fg?&XX0fl-F0Vph^svh)*U+&~{?dXqLOZW**ay!xspNdx6$lrjHpgcS zPrPcqO#d9=**MYxcZ^?Cgqk9SX!$RqaAO3p8=oJ{womAxz6MqlbWjjYgsq=6><^2w zz(&#BdQdZjeXj?n+`c&^RYQ&M-5QzVEeD_ z(T#8Mrk3~dd8lpDOA%zj*TpvYp`Cf&UC>FML6m`?e%z0Ov@TnEz;cc^&5?z#JG6Sg zuXpXY?=--I)*K;72tyaSVf**PO(htCyTkyb5L9k{MmSE;S|DzQ(kwxz$bh zWUs`K0#16?^k++RJ`>C*ZZQKl6_dvqiQSwhSyNA;ZZAqRR8fVAESi(lwoT1b@n(t1 z-W7mL3Dlr~X| zP=|!NXyuf)KjEPxok02kAV5q8dB``xlwCyv$70QlRHIzwH30oX1mJYU(BcE}H`r?*kSaUJf_z!Ox?@^^Qz*Ne%vigEK8$Pc`<+N_IMbFAf{pBSRb|d; zz!lWkuNYeZ5?idzcI4mV$J%)TU218sT9|7|^u??fLe>Gx7St`8RYHxRDtIZ$5OABe zSObkUlW_^VmDF- zOHASU?+;BCS-dLk9=TN}hs8@7sC44ZnN^hzpEykxpD`l7HvK$z|!h7D{9TWgnWJ&r^O7q4eBYP+xj| z0iBxnPG_|D@P(K5>%!!$n60{=^1~i}cycE2XU&j@byJbzFB3LE{`lOtT56&HcYDcB`zWki1J$Iesj`eZ>>2X<>GaR;!E#sc()zM z`ywuBJAF#1Z4pRWu23f~vWL^g;vydPb}i|_Cz&#`w#Us?uZ?ar3~CDJpxTi6ppzVG z;g`5buRRr{a0#Sf@|vP+Dx@@hMLuzc%vx|6H=0ez9AvcVjg@**bW$7Vqhm4fE_GcJm0 z>Ckm#etK^qzh3>}BJz9HAHfxm`464sf9;Tw{a+!?+Q0z8fI-4Q4Qb3k zL!qGGAM_6ZfRY<9(0`czUp%@0?#cgG8&zf|rhi*DMIBlNd9@=4Au&Rr+%&oxb5_R2 zwpk0W2aamxqpU11906aIdKO}gI5;?ss1|^59TH@K7-4`L0|7)()Cx4&NU;Wb$?-{} z>AL>fl9yL)c98e{q{@rDg}1c(wZ>~Do7I|}x$!jj_w)Dm_obIJy^(oj|IdjnBn;%k zZin;e41%VS$O4o8EP|zsm5@l}VLm@|?mO(CLJTNl3pimeMkBh$K1|H5HvCS`SsIb< zM-OYix<}t2!>lfJ+`~QTx$SG!m)uXN){t22(`~)cKjhIt9tQNrEG-D1)NF$eANcy4 z7_?Ezc5$g>l!wU~lil9lYIdol<|RYJLsY|)KHh3w#~7>O>>d%oLxlIt9r{@n@g)>PjFb&aCc~L}Mv^vJLRoau z5$KJ*lnWr1!9rNHaG?J7%i0GuUN)p34IY#wDRH&m=DdX1N z-6`dadJV}Kx5QUCA#MY4Nhmw9uRPywpprapHoIM<6wXm;Ov03WMAC@j(kX}zkWjUL zL~x14Bw{26SB&^xu-bvN!#s@iQ`p+E)qUQK{5Hrfu+V)#_1SB7G$7D}1N9O#SW&|V z^&&J$lVFLw64B1VOPGsJ46%XC$0W{NCr{`%pIi1>!XAJ;)VI>UST_-yAV7j+G>&M0 zG*6ZGA98CPYKZN8>lU*it?`2r1{cxj8c(J7CCni3lB*_SL4?0ibi#K5N{KzoXNdPx z9F98Tvp3_KtS4lqN0O>BRY#XZwQL!Ey6+o~Sv=0A(&=$S!L4^xL&%_9hs=7&QLBHc zT;3)OM#W`S(tk`;_}4jfoZ`gnb&+beFv&dVJIwR8S$I4nJJwu_pOW?VX8ySzWY&&3 z;mLyse}f-JdN{O;!6}06i*ptLCAv&C&xM!xy$h;v=Cea$yo z{Zgzp(}ycI-`DJ9&{Bt#d{Qak(32`;v|OMHL98#{)0z*t($~!18`Sk_Pt=h!GY>2a zsyVDxVu)uqe*v|uWh)1WdNSGRYM}n=iPRm`HLrvcQIOBB1;j2eD;^)raXmw$sA;fR zO&KMA%8}*9{Rd}@j4GXTPE@0UmXc!5s&RQq%R|DG1GDXiN=F8{{;s;5THQ;WnM774 z6lQ4RuPWK!Guqaate)oQhY!%n9TBIwE_*%!=q_K715>^lPa!kuGsmR6tgQa_Qw_(<@~wDL(*S_&l`_j|SD14!pN;**4Xk!|+g#_;{wsb~PT3 z-YcT%FMs3{eG+WbLok=4H?4=~VZJlerw8^Ozn`vOvG#zSVC4Nju}(&24NTcY6Uc`L zkxXMnt5${-TkxRED*<>OGR(G8z{42@_=CAxnWmwc(|ERrbq49_X2EqTZ_cx6;k|vY zuunvPKP}F8^PPTou_sjlP%KzEt*opoNbK-2@pcw+WyoOyEAhkkJopIdXhA=u=JBfI z;|Wml>1cYrD&n#*AVg-k(2UmZ(K@A>OB=zR19CVkGg|X&?=JJ46m6Fxq*4g$CI5Uc zy$yF3)T+bOKHqQNBq^coP#04@&XBg4Lcme$rx1|O5AcKgzFt*!%9rcjWz1bRcZ;IT z0jOd=U(n`EAS~YpSX_PdU3(Sg1FAeuMGeGY3;Xzd{T}Xg*JCH)qIjRTc5Q4$i3f?? z%z;%!ccR*5lrecZ%lh~8%OSY!!*(jTla+8%9bF4UQyg88|Dx~?6<-txNX1@igZZa4 z!2-!*+YU!GO>^!6!S?!lQzP}E-+`Zo&SY9GgQg@)C8@;|C9;=USz$%1MT*7@T<*nM zhkf=|1T}ORuL@mSUGQLiEUE9><8^%8T@3ehnGIkXzc5ONJZr+cIK zQyq@GXuH+Goky2X6-JD#3~3-}k|e52buN_otS2bmMzHa3K)=tO-|yY-c{gEHm4?SJ zPe|lZ7a+q}lFLU?2`Kv@r>O3FRK<0%J6s2-E~l*tw&wC^ugj#S1{eWXubfoIMM0RC zUPXE%i(C-aTwQ#|;vp=DEmgD5=Ucd*irA9Kcn6da6}Bpxj5uA9j4=RCT=K1m{=XhX0nAVixMmG4(zw-PNV! zlb^tHF-aw@sn|4od~U{f_*;h9)^p8Nm(W5D$JM?mJ8P_J^5V_}Q;2(-^*$8#Qk5If zkMpJUV?O3`ng9A~qNMfnon;_R(N4!iK^3*aw~xG7)$^;2>I=FdrA5yn@M|Lxh>ZW^ zVDfO1?C>cFRsM5Z{%b}=t=a3CS;u(Zd*{w@3+U)^LwtPa@bG(Bc)jb(C1Yx+_LsBD zV11;0%FI1k7p1#L9B1fWYiOc(lwFz-+_C2!`6&-5X24>)<|t_Cv*8Yg(u3l{q&88m zU4dtTo~snUK`)OB+fyDk5q+j;sAUc}tnURx4Ruh%!hCaNk@Id0A}xb?^>29Xf=c~V zcPzV=3wha@aKC0gmY@UToP}jIn8kt!uCOVs&yx%^Ugfx^DCxxe9W3wccF#>4rUrd_ zoVH;OrmxMjfjVNFAI?@p*P<`^uFw?P=BghV4e;?h3Zk8YCG@hhfTEHW5G7?7pPB?Z zyOkBIED({6@IL7>`B<~|UD?m#y}aly-5X8><zgk zy-pIAOxiQ^J}AVfkZCvY%5;QW0<%H-^!5Sedsv5CoNbIuaZAE5`L*~xzv-viC#T!E zk9M%%#r0ZWb-fx|x>5nF0G*<;*~)4=D*kabPNj_fbu0s4o0*z>i)*=?(DUsfI5kIe zXz--XhRX7x*tG|ULII`jVlx?&>h^~t&GiCzUezLI3+XW^1toD|^Q`o5W#+^bVbsdU z$H--%f=cS2x*Lp$HpNaidau7`)J3)C?B&?Zpe0!)OBjm5Mscqb!PxN3lmq1@7-uRZ z`&A28ibb#deI%Q{Z*`s_t>c;(Iz58n*C5DbJYdIN8I(VaB<8XM%_UgaPec)tQLq*<&OVG| zijiiX_vr^)%gNEC2SmI&Mf;kjZx=@3VP5xS3bvHR5cM+_z-UOGD`ff2WZ)nS6A&f) zHq@tAPv7U4NjhezPiSTjk2|WzWze7%1=D*V5hUI%De-qBV)n8;XoBdo5$XwxEu@nL zwxY`^*QTZ1N2ugb(9Kw^&mP}r?Tiob`0t-pTfKxN{$k*YRPvC&q1+qUbR*4Sk2;)f zvmu8bNx*)6^U%Kq@&Gqp?u#R=p!jf#cR%v-9~%P6v0_Nx*buD~Wj4x{Uu^Flr`KY{ z)sF|<9WaePIT=o7IH`>bby#wcqfLG>Z^<1VByBGE9t;#Njmfbac`u&YCS#x?pr)@*qJjc@~1S6Pn79qkTN!D_D-x^ z>f2T>oVkMJYU!!ZYyLPjYE(!+nSyoqG%fAD4EO=AS|v082kiMT9e6Ua{7+Q1hrqy& zqOg|O*JcD21Pp++1w8N{=Khz{nE%g6#liS*YgTLgcccOo-C!yiHM^3zs;`cN;=3qp zc@9~w2v-mO5DN$n3k7)~$SVjImuP?_5pYLF!XqRIAV!l= zexO3>^ld)t%dC(SUl1M@p&D^Alf!!p`}$2g59K$%5nk5q7~^Q4MIl6nR{e}#{fZ7c z$$DjxW${;sEHZgWOrlWq!9uajBub(>nIgFWBSa@VFYAULDkerblEraY5Am})UV;=+ zDng?uHG&i>fl7@?3%7+*rAdlTIU?|&fP|@7gvB~lq9|EHq@GH3;J_asg=<8=CLA){ z%r+TtfsxqM-)pBz6+2Ma9JCtJo8EoV>!-pbg+i+aA%e6boTGf<7oQw1mHL3V2*XQu zpamX@5himo6anL9Z8&y^Fe0LOKfOZ%?7Srp z=8vY}37h9p0I)sgo~Sy0k`4jkk2?g^SutneYct{mhEzpFq8;g=QD*k%S&(bII$>}E zM|}lSdgMfT>fgf}x`zF6LKLY_qWTp;U3O30tP?>D-=xVY|3lD_41rv`CHw_t>Se=w zLzBA$yf-i%@5;i?=3D=^zkDdLL@B7BRu#6zHBqdrh zWT~W;$jCMqp$!GzjUf}$tbhPA?%Dx;HaNs$rFLhyCy?A%!9V^ptWwvXOV?!MJJ-0>NTAV#;^7r|`)G>+67 zBA8{7&oDA;rfE5QXkvn8&j`@Uc{{*4hOInwRX{AJQHvxJXhI}s_PZr2`j>#93EzCfUhLNIRV^Y<{EtSi6yYJfDu z9n#r?>##E-avGzfS!fnw^ar z%2`=9xZ8*gT1^K&P;Ss6{)u8UdI4X;dVYc_}((<}^(2MeQL2Ag)NEOLn!d+s5yfoLj+&=rAjzu+XmcJ4oBZ5ElVofVE(&^ z{9UHVZ+uAQRp<*zb$W)Sc{=ZH!a2!7gRk*o=F{0UJIIo`d~?hW>YZWtdISQ#BR6vk zOMXS@MhzJ2#%WuY!w6*j!ttAFIux^dRrOC6Zh1g-O=mQW*twx1*(qbepoNlT@^WM( z+=~t{ZP?6+G59uvWayr&K&e+uzYFqE4Bk%HKosXOOOoJB=IXAkpPfLUi-porrz=i9 zx~)7(kb9E@Gy|o1rmg{vtRDR`Nhv@Sqw&a5lKr8ySw3FqvH%+nP?+c5oFDVAfs9+k zVQT3Kd1=&=qKm{W*Jj;5Njzr2!TS0^_(!qt*>m#Pfh_c2J{OF^2?uyyUJptI#L$Ilyep8`+SU_ij*{H|((P#h`NZAhd z(|PskZhTcmuRqBz6D_b4@Q#_N$sxJ+7WFu&TySeh$gj83I=i?I*gXK`eQ5?f&382h z`6ee_dBJfu=Sx3oe=3yS!LlkY^RiOp)al>rtt%&_)NO&G;UIjP;mlG(2N!$?3DVX< zUm@}gKH|op-@6!xxAE|&gS|QI&T8l4w6rL~K`)Wr6gH2!lSoaR-96Hx<<3}qAM)!^ zv#hU*Vwdsh?a4OzWDvQ}N3sbhw9Y2g)H^SMCf^>Ubw++g(@=6t>Fx3}oZMN*<`p0( zs^^s@G4-}#FFwu^ql!sB zC`5*tZVQrt+D=iur$4nSJWufC(W-?lV!8VIi)|CYwgnK1<@O1&q#d`Q0KRLQIl5cJ zTk@?R4G{*no2*?BQBfSC*Z{EC_Vd5&`=A^uluYEp{* z%w?cy0LD6>lYdu+QFG8Ur+6;e8f^!oozmZZ>^h}aI|^%kTn1TYy@7?sMU+nmP+hMj z`PrqHJH<#XuIs1jUQv^^q`c#YqTE{%6MHA>I>i_#!{_>r)rjaTLscIZ^0^az3@EXY zg81kG5*>-J^3Jys`45ruAo)scLz}@NyxZO5{p~UK9_wTM%r*C#b6TkQ26`X0)X;8;lU;z?Y8+}-+&Lm0u((S76XvpJ z({wTp+*KBFnx$LMf>NUTmaz%fCG7dLzpbw9n={PRyO1Ftt5{)7jlpLxCYsVsm1YPY zh&SC0zlQ|pEE5Z%YT#-`CGKp1tJKHmkA)@T|5X^FnsE4Bxc;C~n1k40R&9 zH1^cJ^TZgjFEs3OTP%D}$pI<))*Rr*Ii&ct(wBURNR9hCA9>y0~OCh z+N|uBr=1*jjvXO*&*85r6{X!LZ{}mzU%~y`rfmeft6w!817DT7BIGpsJIR%9?_b$O znqE8S*hN=7B9?Bm7mt`07QH@q*Jc>H9o~tO4pW%6%= zMf?0>*S(AYzgap-0Y_|RMAaVlA*Q@eMuN3;_rl*>oWiw*+nDuZq3b3MDx_7McLa2i zd_AadaijL7c{<zGc^hlZqYIGZ?D+H@+d)(k11@^|0hLXh&d0$QrA0)!KF` z93Wj>LVf#IA+j5TL+2&=J>nkCak<($+4NdBUy+4YgEl6d`0|50uvCiG{NQ zmb~pRr&g_5+I=0z{OP9Tl6;Q`j(eLG=WUL!91ONS%P+!Tj1};|8Q>l0X>{bnly!noyV(Zm2p(n?+S;bA%c+*LAm}z?Z50(|5J9(#>xISF>FlQA9k*Z zH%uLDCPa>4s+T>RURSJdk14iJ*ZkB|k@p1kHHD0Xlq5h1Eiz9?D1m|to*A4TM+zPl z5+aZW;`C){(L%OL=e1Ard~LJh-sptThsW1LM1R@U=Fv@IN`7jhbDOLC_v7Ye$7iGJ zYM>Aa5TnuOc`a|SCahAYMlMp}Ars)*DVI|cuE9ghv`6Kt`aSs2D%uo^;GnNg#G!)T zN1f~}z7++wz%)tx#W3CqAr#(an0J^-@tD**;x}bF)3wKzRnpJET_zydZQn;QE0F5} z3LmTWZD<{&WggAIt&v(OJ!3ZUkPbMFp&Uy_QV--e=%2~~*iIoG9Z$o&)Y2EUP}0pW zM!?&-xiEC}h3OykS8J5qdZMpbkC|~wU=de^o2EG10qxK;OH#`hD)oxxWR(>kjr&39 z3wG@)DI+)Abm<~kRjGsZ)}wW7m_H)Y>c;y;`&Z1z%#iUDYvofbQ|s6*>nnArO!oj8 z$_jC}lOnnC@rKW@?>fF!AZ<#i(f( z1gFC_>D8rY5u&@POqq?DO>0cMR_yGUnm-{9q#6sa$S^?ZhE(-0ndWP8H-ks@nbblt zh>pmP7^2rjD6s0+eG8-wv;lV+b$vEy)$y85aThpwY4}4=244q)p7^{nGdpyX*Udd+ zUZ0i0YgdEjt*g>Kw4U|j7Jr;jZ7g|CN|?ExJQi81=RfhPcX{{d7#wB^>)*Q{%K{zg8pvaP!%cApcKJvp$O=_cz=D(w)3riR~=80_%@ zxoAEd5$k{`59L5#8Fx`)!}RS55VR#oOBv0cN+ISDVf{RwKIM08DCdi058-iK+vm}N zZT7PJ3>)wTOg{m|c@^C~%CaTWXTF*j(-$@7ld#Ck2ZR|`M z2YW3_^&tGRWVWDiG_0qLZRY|!vzD=5>2e-$lAqTN#N zo25vMr_y5IW|sc#^{b`s2o6|YF2mBDV`|j=HR5;UpSUU5o+keeaBd%kUC>jRm zJ6pXKTF)RS$}6cz#LZZrTb^GbOFBG=BN{({Q z4-PS{8Mqcbb1cra(a%jKTS9yrQXMG5!?D8Txa;R1Rvp?b>GXbi+w@uE+d|z}$!sCC znP-s}=$V!2qpDj(vLOojB9t>SxtvKnnrjfmeRmuvf{2bpEv;OQqrlu{&L@YQOiGt} z{T=&zHu~x=ev3>M#PP3Ie@(cs&>@+1PzD#nH`{(SyxmKhE-|iaDMq*gOm2)!al%cZ z<=&MqJ=mw?y0~7Dk>?|X?Yr9#cLZF-V7@3bJkYqSbSkRp2HReF?Mt+@m*#V-Jo&Q3 z$@ia^Td54DW9XdzcqWzJP-^LQPAV$T7f;LDbeBgw*vI?4d#M2&p$ESWVu+twI2e^F;ma+YYNAbb#$2QC7_VQayCUtaUQb&(S8d^fE zN`>vq?!dCuuiwoQlb1t(Y}(EXA6jN%Hxi$qom?P~wn+#g@l1+62-;5LJJLM`!N^pA zyCK|o`*h-%-Y|P82#iV`k*I3;NU*>-H;H}}mzBWM?{JQ#;-2hoo<8?5oA*eXA=u{t zJcQde+%^MYo8f`D~{aU`3S4GcQZdK*=^$F;_JuwXFsz&iA#VR~-it93xCHC@La^m(?JPCbe zu|cv`W_;ZGzFC6G+xqjugkMsz{w3lFaA)h!Q% zYA5QRAY&!g>S?xy@H7W!3S712iqRTv>}e-W|0GcIDC7!jjT``}YJs`km8VIj2Wy$g zas`JJY?@Eq*$n}lFq018`LgWDL$)-R883^1Hbn_JHVb5z5-Y63zO1Avj6|HIoW>31 z^B&KhVR#oo91a%#j67WJeY>Ye*PTaV2z!@`bhz8-YK4-RU}a3;lXgjG;O2ocb2{?Y z7YM4q6bu-EexYzH|K6wjb0O(>rf^VfFCWynaaL)g^ehf04Qk|AL4FjwArSju+!3)B zRYA^o!Ta(S4V?vOl}#!@ouamMR!`KZ&T-u)V_QXq$h8Ps+<-Lo;Sd<$=SCWqRiq>w zE6;1;8cdZ)YY$0+39G;XKLoT z+Qtul*T=YthYlIiq&uAlmMnz6P1kRP+b#tA!gT!gd;3(o7WB_vRqM z$Pcjh=G>4K&YI-QQyKAEIY$X@nV030_<=5Sd5RDDw$@LaS_ngnd<9NaS4phN{Q_Ec zxlef1ak{vrv9O^&l*L8)zOKd^csQhTshI@%qw#h2Fd|c*wI~1TGgDp1;$5@V@uIex%GUWb~-z;!ruSZhdbV6%X-~#Gbp2)0e$0 zR`55tt=W@PHJrsZOuVHlit2GWm+={@zL!o=JJVT5#f^R-YxNKK2|-X?YR$doVwxLc z<6$P(z+#?nWDIw*`$o(XTS!rJQ|f&te>5uCLq9pjSROcfF!<)}nrsVH0ijS7o)^AI z=*CbLj+!yBrcb0%psg#r)|Ic=abja)%M882J_o1c|HG*I7j52uG6u7JQZrM_P#vo6 z3Frj}!!dyT2PY@sFAK2$bPQ(YVE>!$&s^PB30)Ioi*l68CNfcumr%u1(^fnTjiyZ8 zmT~L}4i5vVjNA%cTS{s|1WgJIUJwqI3w+-gi3AD~1DvpK3~543GM(^;gz{@`_FU|g zmHMCPwV@98_gUmPHq*C*#Ig|B+sdbM=M&e2I)*pQYjO? z#Vx+YPDKt64Get4IMW23Vt6H5AD5jB3uW-~)@?RT>bUnuYj%?fV^=>MHr^XsL`c z<9zrXZ{}SY8tr7MTnW=yl)jNBDI?_?`{)hyY(gbeQfh-MG1IrtIQ-kQp)%+k$$Rv7 zSfKL)9~KW*EvBRg$7#Lvc;L!FF0_41k*OTack|&2 zzGx52XK?Ba6NQS}@x2r-KmPCl(t%}(Y{-V>=@k}@qHrTo?a^)7UOf_gzl9K5aJr;w zi}iR|YKSz4k>58}E9z0sq-C@kSGQnFuwLv%Lbx}Qhcja_zVN=R2r41JO`i_KJuINf zs79dlSs9hcy5mp`@&($;X>_=z#gwz^R~>F--akh0KL)>q1%#<5Ld_I-J+e zLwMzvX-78TWazv8bLs2*rob_W*{A?s@*I^;#&A)9cYWF!|MrCsN42-@{NUBaR+r^o zlkd%D+2ptSG4jh&g=W*o5JojvYiAvrg>L4g`q5QI1kkJ0U zXG&p~r|yxH8B>mk%8ufT&JFx&_3O3beP|UsvWn#H`M8v!Oq%y&0g(`(5|Vv3JdPRK z?y-ylo^m!w!NEQIYTC43`a?wyu1rO<8AG9xVjc z1v3Hjo*Pv+v$8z6RK9P0E^7YmUFf=&`P>hxZHNy7&fJcg18K z7rq1nbrI5)@Ek18vX+2@N$#f4BmS7U5*~GpJ2Wb*>TE7$iWoE%H~VAADdw3`EbBuq zs_F^HH1&`(fx8iX(3^GEj|yXP`lh)EZo@hi3Zv5<_!o_)F_ya3OKwqm;p57ZFH7N^ zO&zGHBY)yAUGG-_S8_X-SrvlF64pT4oWyPvV{;NBIN_q5v*>#5ts>C7US6=ctpS|E z^ENMh#Tx^}VQoTI;9M;Ii1db~*t1P~B*pI&dNOt720%j3wbXkw%4 zX3wlZ!p_AkVeR7TM#9F$_UH7!Wi_*Mas17o+61&!UFXIK?Y^v;X$d)jI`vyUVG$K+ zlS4d*=!{t=-WVv#fp_L~#(8>tC8GSq7tge6Z!Fa8MnTwlG@F37i({$6nh!DW1t-UP zLV@n36e>Nbb|uGV4~ahEwSr>-twYc-#fl3I%2t}N?oBq@GGdv8;!32ACTB1h>G6sV zr=rGT2mz$#uerwJvSjbcnX1te^p}n4mh48+*R5jk1Ekmpr=L&4p@`)frGyji(hp=o720>Pv9w9ZeLrEBoi|F{XanDgK) zu}75w)yn=9EE0d`H)UXY%Zc~xdnaw2 z?I_wX+F~x@^9)*dVQcMbGxa}@$Aks?pI%Ch)ue zdH|)P${Ehze5l(b8apbjjMBY4;gdoz@d6cu%u9;za{>1=OQfORpM@wEVJK<5z4dvZ zQaV=R*=fj*pg}g_l4g<@O`F7PI%`+kj+agn`ewwWCH`>*n{TuTI0rQf7?G+@yJ z%PFSYYq=|h7ChvUyBj~4dxha;b$;m3gUXz(O9G?{#}(7dQb;K_qKhn)Jb&%&OOw=5 zGqnw|Q0MTfFfZ`2UCVYPirf>F>;8D=ptCl6Sntou`F4;9Y`m?65)=uWZsa3)_>g>1 zu&oWP0J!3YrszfzQILu;jlgDHB=B{3vC?aj*pJ`w{fv1TTiHva8&k$70u74MM$bHT zT!B)=R-{BuCipwPt#ivR=R6f91EFUEVgF|z0?Jx|l`|(h=|eQd^~9)nzN%s;Sd6Q) zln`{o3M+8IM{gmTssO_V>d5(1FF-zej&WP`ELxPc%TatTvjzJ|a##se&^|ic=Poq) zHCfSLP+q5M*33XE$$HL=$m)g>CUX8dmr~{HpA5j9sWTB2#(!yMarw)XTkZzU2?8I$95i4Nf z(nePgV7kxJj*0_2KGFY)k|0pb$Xu4XOD;5_>t#5Dnd(h{NWaf`q4dIypB#O!JG=CH zb2J?E80Rx;D3z&O$oQ7K5i)P3`b&jz%_$DXK1*=jx?u8MZjG~IId787n*FCRJ|SnM z$+0uhsuV#0tlX7E(PyV{fjQjWkg@QzI4|k$o^i>aP7fVkm8)JzCQ-Aw(14ts-cpII z;sN#WVbVq|6HJptGR5Y;!~M6X{?XpOzUP|$p>R%4iFanZJ_$QFE0aP{1gu@7(-*?b zm|s=3to0!=em$YbpF)rG0&9hYNwM#CiQ@#9g2hQ&^^*gcWjh(L?{x>?_C^;=Q7x}t zD)BVC*;H{Fuv{Js;`xp=Nz+sW(`ZKyS@)$%CgaIBLA><9LPwicxM|6acM_1X=>V7Y zGF+=Y^v0Kl{iU!l|2AI_qJfJn3df;$o9|#0G3_BrK?L)fckV&jUqhVKzY(O>G6Cmw zy=qSuHkS||2M`-Vuruqgn+<}g4OSR`t~9o_+>3-$JG3%xtO#v18%TW&M8R_W1`j-@ zj{bMN1n~S%{KkLdrIw1h1+$8yqZ$-=Cu%lfA@oBsa^$A3d($}v8) zUMGyfU0={U5^`d77&iL9_uAFX*u~Au#oPjcjf0Du1A&rKTu}nyzW|2Y-7)|G literal 0 HcmV?d00001 diff --git a/Homework 11/Homework 11.tex b/Homework 11/Homework 11.tex new file mode 100644 index 0000000..8ccce74 --- /dev/null +++ b/Homework 11/Homework 11.tex @@ -0,0 +1,34 @@ +\documentclass[12pt]{scrartcl} + +\usepackage[margin=3cm]{geometry} +\usepackage{tikz} +\usepackage{pgf} + +\title{Computer Architecture -- Homework 11} +\author{Tommaso Rodolfo Masera \and Claudio Maggioni} + +\begin{document} + +\maketitle + +\section{Exercise 1} + +Please find our solution in the finite state diagram below. + +\begin{figure}[h] +\small +\resizebox{\ifdim\width>\linewidth\linewidth\else\width\fi}{!}{\input{ex1}} +\end{figure} + +\section{Exercise 2} + +Since the number of conditional branches is 5 and the probability of each prediction being right is 95\%, +the probability of the prefetching being in the right track is: + +$95\% \times 95\% \times 95\% \times 95\% \times 95\% \approx 77.4\%$. + +\section{Exercise 3} + +Please find our solution in the file \texttt{hw11\_ex3.ods}. + +\end{document} \ No newline at end of file diff --git a/Homework 11/ex1.dia b/Homework 11/ex1.dia index 97faca9c1f7538a9c8a57cccfb1b45b916b533af..56d147e27022ad7b168808080e4200a3dc146901 100644 GIT binary patch literal 3381 zcmV-54a)K#iwFP!000021MOW+Z{s);z2{dLnaeJaX88Rvn(o0Yu!B7;b{Cl0bD-F2 zM>~!j$WCW+ncu!eO5Jg6$&O7^i8B=-9oS9li8AZGDzaGo;m6-^XQMAgy(s6^$8$#L z`KYMI^GR7vKc4^h*PlPw^B+H*{V*x>@8Ne^=eHyHM78j*KAzt!mv`S^UOqfLklEuR zU(RbXEAPpoxcnoZ&GJiVbb0>iY&2TEz$9Ph{=N3ye7UU4>-%Lfs`A_7=u1BPc>dkz@N<6IUgq-Ib+%mlE}s_Hb&>zpe`yLo&K+{;yP{sd z?CsrrQ9_gDblWhkmYS&Ps?f(2cFHW zc@Ugf&N(~`<*cJd8us;>><@Q6EZl5ZxO!N)a&dJxua|XRE;k{s=kr;SR}Jd2zAp~P zS&Z`;46$w9is;4Xa=D!Exc|@jZ1Iu~G;e>iwtX#kT9=dUhWo5V&s84E$@1pv_e77Y zx%y+GtA8mM<@K!Sy8E(P9_{jv$GQCaw-ea=>En^3p}AVGJWOn%3bnsHOz+D{vDh)p zp10|_(oNg!a@SK|Z}-v%)4a7N)&XLcKNfX+`CmTItI7O))PA`w+l=z@vi$P()yngj zU;nQdFWZj(Jexm^Z}NIM`Y`(YY*yZZnLjxp;DVHskLUlU&!5(M|KN&1gd30RZvJgd zaZ0EZ;zAKCwU+BoVv|SuV6Ly%QEKQ+UQK63J9-^)E+82PNEvs8s|zNHQ-`4zv*Pw@ zJg=)whvC>A3{ZhzHaq#1TO46Z8*VJqlnP;(j=;Jp%IVE=8yHnWXyK@(lxb`DVPMzu zdQ#N8x<(13%@31~GN##qaEqJy!&Qg#x?7p|LAlE(ljo|uE(0*~4!iWS_pHK-H$ATH7Cq>5F6Py;3*Emz`kddEvq!ke zyjq-(7RyIZUcfocNB>dGz7)%HoUiih{&%o3#ydxz($fEMZaA}=8y5%qoDDk(LlVuI zxd6HX!q9PW&y{jZI7Mk35cr|f>bB_qPK)aPw!?+k=0tSqV4s#>K8aVHnz2|llbR?F zRPRy>8GuOR^~7Zg#Effm!IT#nnNr7MyM_{m5;nYqF}5jTXY;BU-Og(OD{l)FwA6y8 z7@^Ke5HzDqEF22jK7z($1x*Mdh4Nx!5-J*?2pdp%COOd(+#es9nY5A?-8s}W)U;O! z%TUu^UDJdmlnJkCU}UIiLu#6co^phsDTGYcCDb&J!UKxTgx4|%(;&2WF0H0TcMdfT zHSHDRw2rC9v%fTdN7u#YplmnA=xmlRfG+aiit4YZa>-SW5+NaSV~$9!a4PaBD)$Sh z#3ZjLAacKY^I6I4X%$XJM{TWl!r=RJI%(wg%@4AanQR4ENypzl@%-sH#M0+Kawt!E zV=TT04xtG+q%Tz<+|s&TJl%@eVQhO+(?83q%lTH(&bK2xj0Gb^O79z7ROzfoqJpVR z2p}XKUK^#@VaVfoRb3U;By?y0PWDbfMbR`2fbre>y>zAQ?B$qOldHV0=MVkkjq}J$ zU(JeYy4@95u@F0*{TCwmZyp91n8IR1n7;nc=mw0ZCHCb!^G36>KC(5y)E7UocNlmn9kS#wn#(uGd_x7`I;nX+O zXyfnA#@FnW>3xixy^fKa=JkPu&n>C`cR#D^n>a5ja>imBG@a<}L$dE2#*` zHoddn*Uyfo+Pm~&ZCWWM(aztOor@STU-R~v7|70Dw3>6jdg67F{_mJz=A4~TF(2r+0j%2Bj|9O&Y+sVZz~rOVL77%899sIXCobfQ6Dr`%d|eb z^cyK%5J@X+2FQ|uAI;%w6~d$r%=`M;Y39x+Ps5E#hN1a;vvYPtul?wD-m~(@;&&iW z;{19oOF8uxFf4@RDwEPrb^EP!r;umxuRrZJroq?!ItC)H_`T&7zdlNe&mjk$^{ZSO zyndC|@d!8yWZh>X@CHbJY{7RL$tck#4y2F2Dnl*xLPTfdiABR zm;Fr*VTQ{?Cz9^#FU8fQT)+bu7yWY+AE5VEaw!~Fj!|Wd!nvHcl1jyB#LA2wYAz=_ zR}yT(HR*vmY2fsPLwV+NE1DMu11I!OUN9cfJvqg|NgpAT+bUnBC~X>dzz|erVFA}P zG<6b_&3{8$8YXDk9EeCsr$|ZBiK$W$Y8!^oDIKO3#}R2Vsfds~fO2M#mXMaV4@RV> zQ>3QoY+3M%YFXIeOI@ZoMDc#RuLDmgaab1HIaD-M zv{#sDTo21SDsW=~?ja>k8e;s>Nn8+ZumJZ^1-N)-q`Y?iD1en8{XN z8;2#hakYQTV8r$Q6U;^8@y7!&zv2#~A!U(}7DR?BA@D~8L@X16+_ZBnA}yUFEk$p4 zlZr?iD8r@A-u{Loz+JM$*k%AS-0p_dw0k@vJDnmsMQ?XoSwJ-`X+*bz#5hEBE3d7_ zhz_Y~%V0!u8kC%(s>;*UmEpT9lkn(mcFK5Rv=@T=3R)>^$$BKwAW071nr1{?2uFmY zsSA_zc5+Ko8?nu1kHREIw}nZVR#G{Mg-KrTXoxi<=6h9>US|L~P-zk#y`2pV(Kca| z1szJr08c<%37Kh0nBQ$HGyyqsxT6g{qU{3`9imf=)}ptw`9s$l)<{`6twoVUbCrFA z6y_A>xRH{Q&mVP-LFA(oJoQ!_YZp@Txg+T(wVewz1U%#q-!Po=;4f)v(;vRk)ys~C zl1SI=sMC1z?YobP>|Rk3OMLc5pOGJJqejf2esNheYk9D8Wo737TGd#&O@1zBPcOTw zOaw0Aho1C}2L5IZoJANobFXCJ(Mjvv60IBybAp_wob~`D<%GT9z7)7&ne+*u%mrj? zQXit#+t1Eaccmfl_D5qnhj#wX&d_o()0=Cj<+*`oH$`;zu0M9#k7*ZH*%F^`?SZ_# ziJ;@w&u#*+#Mw=pO4vCdaW>%;XA|TRb{A-^q~9~GSkfbV0ZBQCEc_wa*6#|}f`vLK z5OyS=P3Y@o=X`?F%-^Necw+B+k2XY%7s7@*%TuT$oaHG+V11X?4S50*-VKo)LVLf+ z8unqL8-i}gjsb~o$SJxZ@hP#4P@kO@CA%-n1EW3%I|#gS+WM4O!9noBHQfGyhvH# zi`1u4(IAJ$*pP_Im{!eNu}B>p_4c!Kt(Ym=?B;QY?i<4J=w!kp7L)eH2MUj5d~T;~ z_K7nX)oG_`TOdjt_0zPbDP6#bR;LghVd;Y5T#=q3iN45N_C>n)>&oc7RL%*rQVfu` z#w-zny9*{f63YOY3*8_asZyt{_4Tu(DI>x+^*3rHPrhYWI7XeO%5lbx5f0syHP3P-~GU-fO1^^f^KqXBue~&3B=jflHf3jmRqIYtF-br+_t@4{# zE*K{=Ei);9@=X8|4!B7N?ub9SGQHN!HtNQGMlBU0hXP$7$^!shiXe=O=xXUz-vo!0s7r?dY9 LA6KTh{OAAxQwgmi literal 3231 zcmV;Q3}EvgiwFP!000021MOW+ZyPxhz2{d5%GIIC;`eBr!7Q+YJuG$(GkZ4LQb*Q~ zEE%nK9AEagZ;{=JZMj>rOi{MHCBOlsQ2m-M)_YZCvH0^Z->&9^uVuBGEf;4)!T4}c zF2>8rY%x6>{`=40KDps9pFjLOnH4|b-?S>O2KbG|D!e-zUe@*XPp7B1x3_G5w<_vo z#pbgcwkl8mTg>OhDKfUC>c2F{m-jEZ^mSRSU-s&H zxtd{<`tJHklb-8@e;>4ITdlCsV*2^xuj*rSOYH~u*Xp{_UXc2#sHU^UQyhFY^X5SS za{z!3Lwo1BRaSg|CcDF392RbVSh(u2aI@9<^|Gp~VpczeyjU*hWwB^b>*}U_InHWa z%yEcq>Q+K8zRc=+x#j-96!X<{I?#Ol!`gPW+-WtNY&P6SEqbnUJDb#(=if3tuIB1@ znXdkIwwhhc%dWehE$Y2p{%${)U;lL?d*6ROaWphn>y?LzD|M-Nmxt-iY*Mba470~= zdaiWYHap$+)YscR_rWwDt%-Gnm=||t)n5LOFU!SbIUKYAL*()E(?$fmpB!IKf)`MWq}o$|;J8f_JvO~ZUleVy!}m;qr<1V`^v_%yi3vbZ63;yeuq zozCgvs_gzr%f-!Ahrh7NW9SmSKH)ySSE@AW5~)ID zh@wUkrOINEg@L*Ec_k~kb0k?LS+5Xf(X3#0$>K~ZjIw|;XGpU4BUyhSbmhwI=S5X5Z~Mm^K;os( z=jCF$*|FBC5L;dMXCj1O9tRkj!s>}IegB`qB^pml?DaAxr3~)>ca1ChzAM`A!sG9{ zr>Qw>H?$w~Hpz>TajG>Ae#LVJ%LpVqkG8{6Rz}J&BZX^LusLKl@V;*LEn$XshAjNO zS@@cjKAhCpZsOu~Oxz|%7aF5MA;W5l2Iq1{7m_JqR6tVNi4h`uiN<76%QG8zZznrN z977!!Zi{QD$j0Bdjf-7uT=o-0RwH-GZn|aGavanst3v0TLIA@gSJBdx0Y5@yr#M7p zr$ug0y|14gO-=CU<0`V&n(X|Y*||*7@-L&CtnmV=9`Sd1@e$uIYkU&lbC#lE+^*w;r%>ABvh zvtfbDFfDXm$0Oosl=YB=01c=ymY9i{Y3q0-b~;9ON>A&R3~Zbga5>2-!051+pqa5k zjsQnrgjH5~q9>xKEd!F+=`FLqDR?69>Jrp3EK+xt$LA)p@hH zBZlO96c=%ALsLgF;rutErNabGPX{7V(lJs}a%QTMjJt**OiqWX#qmtpk5jSlcjl@lFj+>rYL)7n~ z{3gxe* zLAQy@Umvub=swchjctfMXUvZ%(1_MZJLW=hHWV$$T%0YrbEH|MS%=pwDuJE91h!%j zin9gHqT+0a)hw}x8VV8v@WAU?%d_c_Nfu!sY}e4C3U*K}o4E>ha`#BKNVZ-7+@A9gMTXVS|X~4)lY}EDW?PLzMQaeYQMVj>r z(}NUhWnap-%!bp3Kr=z74IQiwHY9KGQW$`k;B07SRMtY+50JPPcJ$!A^;8I(7Tq@t zMp|}1!jvFLuhK8V!Z(hFob@_d5ETn{gyL2akt%i&H*Fn@L`%m=OUb*=lxE6B%J6xU zsDI!{be9~nt{H%WcAXJ5Z6A-sPRGbj$-B;eta@TxC9+0z50C_4MEBsmGZfJgHEkG- zL{0}Kr=;@kJa>uq?gAl@yxUFNAdK!rLMUdHv#e`Ck`0mq^wx}E@kB zn!1GDE<4l*v8JsLB8*nrE2Krq9T#`7>qt6-`n1bltKOM>SWGAv~ulqIG$2E-B`H;k^h9s&Te*9dx&HJ zFFkOZEd0${xJa;Y;a|zZlhe?_F{6E)69mXwx!p1Cr8|L8k=u%qlA(fogY0bfQ{;QP z*_pbo4aVK!h)VCt%HP>(Rz78RbM4$Zf1t@tnVh^UaZrab?c*X_-eaaCP%t;CMse~A zBCw*#O`1vAIv{B>;TR_q)E+h$7^79#<*Y^4qjM2SdkieXVbw0|<2F*nS|r-3?2`$7 zz3iM$&_;y2bcW8(eebb`Oz}d*p-$D5dJm^+Dj8Yd=XFEwfn;z)6kuQ<)>z}dN^(QU z4cRgv$qhM1HzYkHRxlotvyx=@d1+ugq+myZx8Asr5i0=&A6)~mT;+9!+yv&!E zO(Q?#&H5qGpRTogve%(Bt~2PF9+N0{p+ z-5%m39-|fRWaco5Hq=7aS-&Qt7Fks@Wzka8&1= zrfq>Z^E^z`+NN@WU`8K9bVQX44(E#W3`z1u-m)*!yvikg?d4qq` Rs<`_6;eRS@QcUFC004eoVT=F( diff --git a/Homework 11/ex1.tex b/Homework 11/ex1.tex index 8957e8e..b7dbfb0 100644 --- a/Homework 11/ex1.tex +++ b/Homework 11/ex1.tex @@ -1,7 +1,7 @@ % Graphic for TeX using PGF % Title: /home/claudio/MacOS/Git/CAHomework/Homework 11/ex1.dia % Creator: Dia v0.97.3 -% CreationDate: Thu Dec 13 14:55:23 2018 +% CreationDate: Mon Dec 17 14:28:05 2018 % For: claudio % \usepackage{tikz} % The following commands are not supported in PSTricks at present @@ -20,7 +20,7 @@ \pgfsetfillcolor{dialinecolor} \definecolor{dialinecolor}{rgb}{1.000000, 1.000000, 1.000000} \pgfsetfillcolor{dialinecolor} -\pgfpathellipse{\pgfpoint{24.621636\du}{10.373318\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} +\pgfpathellipse{\pgfpoint{24.621664\du}{10.373322\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} \pgfusepath{fill} \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} @@ -28,12 +28,20 @@ \pgfsetmiterjoin \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\pgfpathellipse{\pgfpoint{24.621636\du}{10.373318\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} +\pgfpathellipse{\pgfpoint{24.621664\du}{10.373322\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} \pgfusepath{stroke} % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node at (24.621636\du,10.821893\du){000}; +\node at (24.621664\du,9.946655\du){000}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (24.621664\du,10.511100\du){Predict}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (24.621664\du,11.075544\du){no branch}; \definecolor{dialinecolor}{rgb}{1.000000, 1.000000, 1.000000} \pgfsetfillcolor{dialinecolor} \pgfpathellipse{\pgfpoint{51.098364\du}{10.466682\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} @@ -49,10 +57,22 @@ % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node at (51.098364\du,10.915257\du){011}; +\node at (51.098364\du,9.757793\du){011}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (51.098364\du,10.322238\du){Predict}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (51.098364\du,10.886682\du){no branch}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (51.098364\du,11.451126\du){one more time}; \definecolor{dialinecolor}{rgb}{1.000000, 1.000000, 1.000000} \pgfsetfillcolor{dialinecolor} -\pgfpathellipse{\pgfpoint{37.613364\du}{10.346682\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} +\pgfpathellipse{\pgfpoint{38.013364\du}{10.396682\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} \pgfusepath{fill} \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} @@ -60,12 +80,24 @@ \pgfsetmiterjoin \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\pgfpathellipse{\pgfpoint{37.613364\du}{10.346682\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} +\pgfpathellipse{\pgfpoint{38.013364\du}{10.396682\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} \pgfusepath{stroke} % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node at (37.613364\du,10.795257\du){001}; +\node at (38.013364\du,9.687793\du){001}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (38.013364\du,10.252238\du){Predict}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (38.013364\du,10.816682\du){no branch}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (38.013364\du,11.381126\du){one more time}; \definecolor{dialinecolor}{rgb}{1.000000, 1.000000, 1.000000} \pgfsetfillcolor{dialinecolor} \pgfpathellipse{\pgfpoint{30.348364\du}{20.416682\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} @@ -81,11 +113,27 @@ % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node at (30.348364\du,20.865257\du){010}; +\node at (30.348364\du,19.425571\du){010}; % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (38.013364\du,10.396682\du){}; +\node at (30.348364\du,19.990015\du){Predict}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (30.348364\du,20.554460\du){no branch}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (30.348364\du,21.118904\du){(branch before the }; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (30.348364\du,21.683349\du){last taken)}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node[anchor=west] at (38.013400\du,10.396700\du){}; \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} \pgfsetdash{}{0pt} @@ -97,7 +145,7 @@ \pgfsetarrowsend{stealth} \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\draw (29.200000\du,10.373318\du)--(33.035000\du,10.346682\du); +\draw (29.200028\du,10.373322\du)--(33.435000\du,10.396682\du); } \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} @@ -110,7 +158,7 @@ \pgfsetarrowsend{stealth} \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\draw (42.241183\du,10.409033\du)--(46.520000\du,10.466682\du); +\draw (42.641807\du,10.434769\du)--(46.520000\du,10.466682\du); } \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} @@ -123,7 +171,7 @@ \pgfsetarrowsend{stealth} \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\draw (35.861300\du,14.528791\du)--(33.492797\du,17.058385\du); +\draw (36.261300\du,14.578791\du)--(33.623143\du,17.183465\du); } \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} @@ -136,7 +184,7 @@ \pgfsetarrowsend{stealth} \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\draw (27.770800\du,16.615667\du)--(26.373700\du,14.555427\du); +\draw (27.770818\du,16.615669\du)--(26.373728\du,14.555431\du); } \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} @@ -149,7 +197,7 @@ \pgfsetarrowsend{stealth} \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\draw (32.100428\du,16.234573\du)--(34.375972\du,13.547529\du); +\draw (32.100428\du,16.234573\du)--(34.775972\du,13.597529\du); } \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} @@ -187,7 +235,7 @@ \pgfsetarrowsend{stealth} \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\pgfpathmoveto{\pgfpoint{21.384321\du}{7.172481\du}} +\pgfpathmoveto{\pgfpoint{21.384348\du}{7.172485\du}} \pgfpatharc{279}{82}{3.232560\du and 3.232560\du} \pgfusepath{stroke} } @@ -209,7 +257,7 @@ \node[anchor=west] at (30.635000\du,14.765000\du){Branch}; \definecolor{dialinecolor}{rgb}{1.000000, 1.000000, 1.000000} \pgfsetfillcolor{dialinecolor} -\pgfpathellipse{\pgfpoint{25.421636\du}{35.723318\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} +\pgfpathellipse{\pgfpoint{25.421664\du}{35.723282\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} \pgfusepath{fill} \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} @@ -217,12 +265,24 @@ \pgfsetmiterjoin \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\pgfpathellipse{\pgfpoint{25.421636\du}{35.723318\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} +\pgfpathellipse{\pgfpoint{25.421664\du}{35.723282\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} \pgfusepath{stroke} % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node at (25.421636\du,36.171893\du){100}; +\node at (25.421664\du,35.014393\du){100}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (25.421664\du,35.578838\du){Predict}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (25.421664\du,36.143282\du){branch}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (25.421664\du,36.707726\du){one more time}; \definecolor{dialinecolor}{rgb}{1.000000, 1.000000, 1.000000} \pgfsetfillcolor{dialinecolor} \pgfpathellipse{\pgfpoint{54.248364\du}{35.716682\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} @@ -238,7 +298,15 @@ % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node at (54.248364\du,36.165257\du){111}; +\node at (54.248364\du,35.290015\du){111}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (54.248364\du,35.854460\du){Predict}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (54.248364\du,36.418904\du){branch}; \definecolor{dialinecolor}{rgb}{1.000000, 1.000000, 1.000000} \pgfsetfillcolor{dialinecolor} \pgfpathellipse{\pgfpoint{39.913364\du}{35.696682\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} @@ -254,7 +322,19 @@ % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node at (39.913364\du,36.145257\du){110}; +\node at (39.913364\du,34.987793\du){110}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (39.913364\du,35.552238\du){Predict}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (39.913364\du,36.116682\du){branch}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (39.913364\du,36.681126\du){one more time}; \definecolor{dialinecolor}{rgb}{1.000000, 1.000000, 1.000000} \pgfsetfillcolor{dialinecolor} \pgfpathellipse{\pgfpoint{47.798364\du}{24.666682\du}}{\pgfpoint{4.578364\du}{0\du}}{\pgfpoint{0\du}{4.526682\du}} @@ -270,11 +350,27 @@ % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node at (47.798364\du,25.115257\du){101}; +\node at (47.798364\du,23.675571\du){101}; % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (41.163364\du,35.646682\du){}; +\node at (47.798364\du,24.240015\du){Predict}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (47.798364\du,24.804460\du){branch}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (47.798364\du,25.368904\du){(branch before the}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node at (47.798364\du,25.933349\du){last taken)}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node[anchor=west] at (41.163400\du,35.646700\du){}; % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} @@ -305,7 +401,7 @@ % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (61.885000\du,35.848393\du){Branch}; +\node[anchor=west] at (61.885000\du,35.848400\du){Branch}; % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} @@ -334,7 +430,7 @@ \pgfsetarrowsend{stealth} \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\draw (35.335000\du,35.696682\du)--(30.000000\du,35.723318\du); +\draw (35.335000\du,35.696682\du)--(30.000028\du,35.723282\du); } \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} @@ -347,12 +443,12 @@ \pgfsetarrowsend{stealth} \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\draw (28.659028\du,32.522471\du)--(43.568507\du,26.398968\du); +\draw (28.659056\du,32.522434\du)--(43.568507\du,26.398968\du); } % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (33.585000\du,29.248403\du){Branch}; +\node[anchor=west] at (33.585000\du,29.248400\du){Branch}; \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} \pgfsetdash{}{0pt} @@ -369,7 +465,7 @@ % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (40.435000\du,29.948403\du){Branch}; +\node[anchor=west] at (40.435000\du,29.948400\du){Branch}; \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} \pgfsetdash{}{0pt} @@ -386,11 +482,11 @@ % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (52.935000\du,28.948403\du){Branch}; +\node[anchor=west] at (52.935000\du,28.948400\du){Branch}; % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (40.050000\du,30.083403\du){}; +\node[anchor=west] at (40.050000\du,30.083400\du){}; \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} \pgfsetdash{}{0pt} @@ -407,7 +503,7 @@ % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (44.485000\du,31.498403\du){No branch}; +\node[anchor=west] at (44.485000\du,31.498400\du){No branch}; \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} \pgfsetdash{}{0pt} @@ -419,14 +515,14 @@ \pgfsetarrowsend{stealth} \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\pgfpathmoveto{\pgfpoint{21.384620\du}{13.573665\du}} -\pgfpatharc{217}{139}{14.953734\du and 14.953734\du} +\pgfpathmoveto{\pgfpoint{21.384648\du}{13.573669\du}} +\pgfpatharc{217}{139}{14.953678\du and 14.953678\du} \pgfusepath{stroke} } % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (18.900000\du,23.149714\du){No branch}; +\node[anchor=west] at (18.900000\du,23.149700\du){No branch}; \pgfsetlinewidth{0.100000\du} \pgfsetdash{}{0pt} \pgfsetdash{}{0pt} @@ -439,11 +535,19 @@ \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} \pgfpathmoveto{\pgfpoint{57.484943\du}{32.517508\du}} -\pgfpatharc{26}{-38}{19.042867\du and 19.042867\du} +\pgfpatharc{26}{-38}{19.042892\du and 19.042892\du} \pgfusepath{stroke} } % setfont left to latex \definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} \pgfsetstrokecolor{dialinecolor} -\node[anchor=west] at (56.685000\du,23.464714\du){Branch}; +\node[anchor=west] at (56.685000\du,23.464700\du){Branch}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node[anchor=west] at (24.621664\du,10.373322\du){}; +% setfont left to latex +\definecolor{dialinecolor}{rgb}{0.000000, 0.000000, 0.000000} +\pgfsetstrokecolor{dialinecolor} +\node[anchor=west] at (51.098364\du,10.466682\du){}; \end{tikzpicture} diff --git a/Homework 11/hw11_ex3.ods b/Homework 11/hw11_ex3.ods index 39c77ef33669dd478111efde17112fa5159e2e6e..99b2a317d6d05e5a955055d1fb627901d8d53b1c 100644 GIT binary patch delta 12207 zcmZ9SWk6iLwy<$4E(MAgr^Vg1xVuZyVuM4m!JQ(57A@}X?lO2O?(Q*GQVJokVvZdmozEqbQ!q}RrOz* z+PQ|t1!fjSwzj1JhjJIUN>A@VAp{e5nDV* zo=1xUq-j3y;#Zwi2ZTPXLtcUEA~NihSh%fH1r^og`{WA`^W_6G(FkT{c$I$oEU`g_ zEK%Sx5zKDo>J4QW;iwWsPy!3u8Edg9IYqV;Y~Zx1S+9foFSFh!StPoKblZ^wJBo#i z5pYBH>YX^iy|}Saf9zV3iK?Q{hFzG82;C_&~91A7WD0g&1KG45Pbk zFHI2G(^Bu&8 zPU_c)_-7Pd4gQK2odv$t@gbVoQ$F90ua~?FV82{6NRxVu~r$KYC^@ z7IpX4D+_M%JZ|p#4dnYrHAtS7D2$!8->tu|U@gph+EJ<1=(Mvejyf$Z)5I^J1CVrP z!cScD6M#k0NV15eCVr?=U4AHspzXCUKiDU%`5;$own1(3kVf^$Pelq7?O~m6Y@RU;STEF->vJOBJ=PDs?t-lAi zpv;9nV}7Ko(BM6)l9t$FONHE?d1L;w@SDbOD%1p3iLAI3d=BKEj!PH?fWcm-8~~?= z{{1=|FLm04OnV$73XCRvVlJN=HPLPA=NxdH!P;+*Ih{$f$mA&^9p#21e8s(pYwz16C) zBRiRi@qF%x8U@$(Ylo?yFWp$u-py{mnYwU8Sfa=3)Z~SG$ool0W8)}z*ib*gg3fw5 za9EmsgG3|rQz$4!9b9x%w^^r;^l)^e7Gij^r_x68KlnK9;Ns#RaIP6m5`o<>Xj3qC?VmUo+9I%A zsXuc+ry1?2f9qEhmX|9DsEbF$q0T-kT@QFB%8_>yEw}}6kSq&1{s9b7+q0!K;1SyL z0QpH!UuaV3KqYH7%=4WTW|tTCC1*@4LJ_T*T4@lf%P%!kfFtzw2h9dn)g7)CjmJh- zsglF}YX!QTu-1-7!kRyabhvTJ=+r!`sAh{Un_3Zq%)CagZVMf06a{=q(`l4>P)oGwx5X~Q zCZravMAxpd#5|kUtIJ7uSpPvNykzt6`20`YRYEBFa5gs!Z;NfX9J@5vT;T`oTAw*Q zS4=xXbtyvyZ}Zva;2p2LAA+iedJT0<0wN83l^MFAG5j9vaQp}sB({EIJB{Y-MBtvL z1d{5mYsxP((<C?fG#G43wMpS8!9_b zWl+^Itd%_cOG4BRd7{1{0o5*t!T9k_!^pB zW}K2Fes`pv`pDdK`T7r42v;iBM@eY(W%nfn(d(5wXtkd`9B`bOW=MG-1Av5V)^Jj^ zKN7DYpUcDdXap}C3TU*Xia+dNxEbaPSsLsQ@(9nV3c)+&tSI!*KbAK>=3!WCAOvG~ zI7>{nf-jcG5KyyJ+`2TLseP0chjxQHe(PA*!<)9LN|~hI_Z=@Y1&uH7w1UbZ#YRoTU0 zE?I_|SO(Dm&0H1P5^u-+8C>`feK+ofs=ahs8MuY270Kj4}vPieh-4% zyq~poP6xn<1xncnIFxEuy;E)Vbm_?y5L7&bZ~U68{3?8qu>Jl4Uh8&+Md-GRfe1OH zU)=XAp3}#E0zZ;!98s8sj8X-LxyC4Ylgb5T8k(3^h)MxEPuA_jG7D_7DdTZL5k%c1 zG+3Ve*(Ss8cg^V5K$G%%q(|xl?H$*fPfJs}FJ;_SjJ&q^lC)KJ!>Z2r@H8f|@jJU0 zV@UFU&_qALjUpfyK#)*P;aGm~a%S3&b7%IEADEg?F>z|H!du72yFCQzfs=W z6Ew!7NZI)%3r26C(61cu2~j=?ewy4kR=NSXmw+oE_bg?QH<_gB-23*0uJ#I{_0f2_ zdB#1jkipFV|u!|Q~lv_CklbHdd6Zw$PnKVLcdIA!)4*|odtjK}e ze3)NE(8#*uOtkNLSh@JH;0|#|EI|P#t%LF?0p3|=z<55l`f1xfBjY^Yl(PN->Jmo! zp4fNq?b2A@2P5O_=mTVR68^Y;fY9gF8Jg*x2HMEp7eRYXr3nngRWu5Kq1s8}uz2TGXe$m&RSRXO>rnLPiUY-XzNFlfQrFXk z&QD&gGpXJYGGYuyQMM1$H)KA8nYA`iu|h*XD98_U1^F#%Q0X_sMI-+lpfAMx^ei@6 zMl5!F)v?qlHfdS$yolrI;TTd5xJY<-&r5}s2|NOv^#{d|2el~81& zD#FE&#}=;(0VmGaV)U7F6`lG^h)X*Z1F@>^$wsRNEm?Mt=MNGEM=Q6>foH9hBEc?K zKqe(i5}WsCu%@xB;hA%49oeV26H5WU6_@?+GZlIUu7c>o^h|VgST8b0c&nH9h7)-B zxUKMFfFEZyL}|G_`*vSB+nI>$h|XHfFY+FeOu%Lz1`jkwwh6CilV`>rM`^ES>$GB6 z+l-&`7(k!I_6>yu7A;0{)Cw^=8fY+wfw7G5Xq@C@``Cyx0$EeJ$jBW@r^AaH<;D@y zTO>b6=NxmiKH9P>xx)NN9Eqhc`$V8He$*@8PjE_`m}5Y$BXL)1?)#mn-8KySa$1 zQMq}#qBkF`seg3iO7nTWr2d{OFMSgV?lYtrs46VK0*n+Y z-|BQkVKB?)93{yp065%}BUsBkfHQ2x2jz@A;T>8vu2d6(iME(BQYP^$m2guDko`Wm zsCcwpBs^2ApK|>KUt{YC#04`az5585J};5IVs7T%J-zfwnbZ6D-riyWjrv}nUTQHr zU)E}B618GaE{$I3SA8CjvNnN!{=hrLTke7+HnY2Fe&Ev$`%Vi@oS@7Mf zyKI9|5cXm3oKh#6rG2x!Y?RW*Wg+v~+Or zMWON9(ASQxKx>%B_azc|cYc(d^^$M)c=uQsQz9Rcwc06>8qjcH;vJsOCIFiL>RGrg zF~?2c@j}t@Tyjw;{AXj%$oGDZ8?wX=*||J=roIp}XZzAfy|%(IOuZy?>?=y@Rw)dC zw7;~mmY6D^#XrJxrX(=c8(|8s`HJG?p6(o<9-ZR57-sb(-dX^g+j%#*Ykx3wF0tLu ztL-t3YzH{sb6ch{HO*R`Jd(zeAQtEZ;))NDs}SW;guGj`c|NCK&a~a}0lRGos$g-U zH|MPy;_<`UT1GARqTmHWPsx|%&qyoQx02ZnYaFHNYjS-z^%Q@=rAx6*Q-+x=L(V6l zN*Q1^A%JykOf&(;qRZ`pxPvqw7%#>!223E+&H0qi&%J@5=XO=jYx^-jKA(SpzqjXX4O z_bm zn*RiY5dIc`{^^KzzOrEULf7vpB%flvx{$g}9+DewNVoI!f>6_*-uuA5$VebJ{aB^K z48?~Np^<36e>rzb0K?ITw2A(l5OVd71yb}bt=gO>i4z;56;Oq|g)BT&afmFV_AvwB ze~?}lxPL>8CAvypE?p&cM7bq2itjXOH<&jPGo$oRFTkd9qLL33XZRRvru~YrdgOqy zX?p(+W3#y}L}q!6w2wU1)L%qAio&Dvx);4^>)w7;o{6V5DivGu2<@j|=L*l|cVK}% z^Ek1_n)2(*Rp4OqXDj!@J3&PI$_$CVagi~&gzCKz84&Az#A5P04MPVB6mv^Z_dP3CKw1)P01Zxbj^E47>r%BLKU<);rq%& z#(`&8pLGwzdL=iIDyNSsSjF^OU9p934tjV+Okcx?dp!Sbz9e)1Y$wwquUE4K#JnmZLR>U3)g4dD3seUDaxCTArkuzaq9wI3^VXmso9HM%%Y7I>nL0lHlbJiSHA zZudIV{WtGwk`|b)h)jo$7HDHkQwE@s8YZBTbHFgMR9tT%V@h?6K9eGa&#~YQ<)HEM z$p@>1RarQx$T8%t8)A_`W8>W*=n}az2n09y(!g9b;@u;z{crp_gC?#=g4s?x{6rG3 zsvZlLafD*ODz~GxU3;!FATaIALOGCqiw>dYs3h4V6C8we6K4_b4dd4rX9EX0-GbO| z-Vl5Ax^a8;zLGg)d3u!@07txRb^#uMu~viHO$Ry+M}2<|bmLi&sAK+qUuz%{i^X-wbF&K~elA`D~@CEpf42xRa@0midKA58|fmOoWyOdj=a zZjB0Bi*=ztR=bh5OTW}q^>zn4Q`suYle9L`qF z(yl95L^ZcKE;ejgFjc?%8+~LBkog&o3fQV0fWKg2>Bz5oqOSIl2!o)9w)ES#OT%%( zul`bSsOUo$z>WrQy1fq1u;M>IXFy<^SZ-*eF72d1???k)g}~XPP{cu^-YnQmc{Pp7 zgRH}PSBSgd_Sx6N9@J$G9n6ACRRO7c{H)4c)*wOmFA5aRPSE9qynRa?(IQ$`b#XOA zmAF2<`BI4p{*;Mom0O`Fe(we*g2gbs}&pDY+y!R)$%M)C6M3pT_m=`XJ6)wvmvg zei{h1b)?L28;t)M;iYY`YLS#wwzx!q#vkpet=@DR5u7mWW2NpGCu>^;ojd%&(q^wd z0%(bPU;#KgmYu68*A}?~js1ImL?o-p2E2SM`$Rmaq=XrgT=TuNFZg3{sYne3&)pwi z9GQ2{1xF%&mz-j|YE4SD@ z7qXt%kSFmOS2@uDL`Z!=axP}|>z4YSgX9nr5Hfnwzo^1!39Pz4pw0RLSI3imHbwsuU>aoSwL%pje$vDB8Dq+`OO5uGb ztZKF44@?^ra*Y~#=yqE5oR291oVSuuNbfWWJ1{A#2eV2a{3SSpWMfG_?2OC1FOm;= z0()|X8dJlAf;0*l#6)1qR_S?D=@kNy#dx)D?gL-wEgSTLmF!r!f*S+kBFU=g?(Vkn zJX$Wk8_@c5TWD)LYeYLpLQETyUsm(SH<4I;{Ggg&=mAcY>vR_djl{Pdh!jb^y3}fR z!(|!_zuL4=+vPrdVnj|>R3ibv*=xCC0#hT~pzrFfoe~y(T;rx*QOj0^@k3@VnQ6J#*hF3)M2V%9O z7g53{)Zps}MK7xL#pP<|jtOK1hCS7vE2O>)(N_y5%B50@zPB;WU=$Lq`?Hkrd!G89 z&PkIhbY_1%ALV}OKkIbr|K zk8Zep|CEDx6d*J%^#AXkxVLNQc-a5Q|JF0OP(X@!NdL5ja4A5Zc)0%~WB;cd#N+;3 z)6X#FH<}R{ew3aywmf&L zj?SvJmOo`@qFsM>|F!l!#m-In>^+kOdu^b-SCV$p%`*5%X>X%&O%=U1fteO{)wizB z1h8eN5PXpI5%f#_aTOJb_wrOTI4?a{Bd@&Vu!@C~&|ggS1rxux;?%&>ONuo^($egq&g`I6cm}e)zxd-NIXF?ia0V_*X!lBp5QZ! zkTa2kZIFSuMe413?*^r={E{&5IVRra!3}4`j530R-3p$^ zQ?Q)XyBhrs=LaNb*ikviZ1zki~JVd zNYfCQ?kjSsbYcQ<6k08E&C&D;V%|7gZ*4b*A84;b-@VnM<`(^tBZ4?Doilz1R5H>r zRECJ8G;8o~07rQSrBC_>;215$J$4Hr$qu0M#f0xxKXut;rqTKd@N+L{U{;H!rQtt6 zmfO<&RGj*ypUAs%rR|`z{pMn7g(ZyrG=CO5#m0zrq32b?a{21UeN5A+`Su$9g=m~g z|HDdK=q)AtI{K^K?A;80%*1o+`_8v-7ZEALlCYf@1_~+-{=ddt?KGPW^grL`zvCJa zd{UqRD7OjEXQI;Kd&xx={o+8@%D4+4-;JTT`1m-_(?ae0QV;o(8I+2&z5Cvr6bz9m z_k7QLv6NN}cK+;`-kGVXc6>sgr~8>(K4!X)Oz5Poy9S+0qW;k&+}c8Jz}FY|t&Ydb z=`5km{+{}&(e9f?DQHexV&xn6&>8fh&&|Ncc>}3#RJ}gx+O7+%R28ofaol6;v6_5K zN26gpu{D8>L&ptUy9mQ#D|5q}>R<{j-8`Rjbz@RpHD`f75JUh={NmoYf3P^jScm%%(Dcp zrnVdCkg3Swk};#6Uy#YrA zeSeQHln0)~Dg;js&t5aOFc7RguHw6~zif?EdKrG{urg{p_AfpjLJKBir_U&lVO9Kf zfii3svw{BbtipWnUU0Q*22t2n#We>;EYU_TC0Q1}AN0+f(&nullqJ{?RujsQSjNoD z?#WJGR(yw3SatB~eSe+SF4j@ce4NQ_+XVefv#L?P5AoNz%wRiGFi%dg$@|UC5lNfa zuX-wLpOVmh-L+f@c@^zd5G?0S*?MTIA)7g4p|Ey>W1*pj`gEm*w4YL*Ntr=FMH#MT zFGx(%!9!iwQJ0JvIV*xEn#%TtUJ|}m8cAKOc~vO0l~Zehxh@Z;C;s==g)AXedv*dM zArmv>yuppnYL5;pVNY7syF63XwLJC^tKUmOsNYuSeSxPCF9aj5g`TPt`Yk5Zx4*w@ zUr_4NiGJeEFGPCWsq277dAO*Mw zR>t*iMt^YF1AW1~)fx!+qA+R4;Z3J3u$^C_XZ1w@fct9t2$wb4qw960jir-+3+i?( zr$#5J+{+Zr9kllg#P#uvsacY`ZQ8Qd&TK_R4Vc4HQe|A8TQPVqLGek!qFOIWO8IS9 zAP#T9IlQ$Qn(M9;2wDhjPHaBn&j_j$uiE3wz<9pv6wF3ikkN>d{AS4`BTq0&vcX&U z@<>FyN8wu&vAiBCT3zjM3kC9GUKac8w=k1t3MP)Rr$rY2-TG>PbI7#Xpc?m}ZYxqo z?Nu@}wOQLpK(%(NN;v@m)f2F&y!?DWm) zC%bAmF?)0>Oe%-QK()}5p=Qsk?(o-X{wMhRQlpn3EiaNH^ish|1FV%9`|-K(Q;}Ug z%1iAN(m8Kyzcd(it?VTYRRg*BOkZfG3@f1By0TZ}DA>tWNf?wAkKF7dZ7cfKhStCF zw!OAAzdXI9yXT!OoUBVbwnE7&`)pMTu6mL6El_zU#76tk&-I?K(2V;__sbX?PpL14 zdf6abRu1^eH*l}%&dn@k3x5<+(iuN3VuV1TRm?oy6EhM}s9GIStPr!dxYUPGFaXqh zx^K!z<vKJT=k%+8%HsLKsD)K0V4#5BH_sptlC?B|J?o2(bj0T7I*hKW*;8chrUuJ2&vGt9 ze6Is*?4`iA*bNBzb!)*B=CC4@KDh0628EPWXCn+8I+z*QMUMhmS>^mhG@s0t@CvLw zIq7?ViRxa=s%;Xce>;()8P{7Z+|;y%1aR_}?q@p^ zLg-_JkYe+lFbUa=FbYE;ACQhDD~jKfHtcVZ2+cVwBqt~^S{ec>4`zCAmx10~uNMMs zC)GsZF)Jcg#|U#vM=uZ6L;^w>K+mMETp~hX>#xaqne~>SYId?LL}5;sRpd%XQ%E%t za`ei+r}E}DnuNS#szdOAFO-Ip!@QX!nk}EkM=ZJP9t8t zc)q+vAUF|yg&EKgTH$U?MIIO1AM3Y?Q?md=lY>5EdM_XLo+lG4G1lZTauCYr7eTgB zs^g);ZUS`vXF1kCtWQj)^y>+}=?u`aK*B<6?XzGt<6RMP>(qOhDo;_gi`uJev%c%P zN%eVnE~T+>1OWzE>f@8WPMKoD&Wh=mD29mX0yx4@>WIwHBH5#>F;2m?lYAeY-CzYzS?ujqtr2!~PZ3veOi#A{Q%0@`7zs=854&_mrbL2qb|4 zJuQE4cl>?zz$kvP>VvOcgi0VDAk$Y1Hid9zkM5V|<1*JR zOyMn_^cp;HU<<$(!yLA!o#VBA;IH3H?l?czMm~QvrC9nwEg!gL6l9Rdz+63Ae5qIp z_T}<{%wedvE*}$3)iFrQr)F_Ac>oWE)acHV-`~GiO{a%z|C`ICnMdGCaekSkNS{N; za8Da;!6rqPmVBq3x{|N=&1Q(WarO3@>alWW;6PPF?qx|LveT^c^iu-D3ZdO`Xxww$ ziuF1$i+-c2zvpfBARNsxbhMlQ!r*6#tX5Lna_{+q@%Avy0fUhDUYxcO`NNz8` zCS&u$qcnHAq&6XOQIW18FU4sVx0s(w)-3*NYXWV%jA7B>vjTUWeCa_MQT}^v(MXwx z;6#oUy4n;v=YYk!1r-wD%hHAIp9O`pj4bTr_>#8h7R^?ofFgF}vFbb1A*zjaQT@q0 zvCkA%-0&)vMra9D)R?X`6m%wXl9P zsBMuYGGvfs=dr{1K$!oj=q)g&{iHSqy3Dd>0{#lxe8k*-`vrDx`06D0!O#;jG9#Y% zn&5-`n4siI;gio;WVSr9UZdFrpHl@RNNn$16(E~^3n9JlGwgUuvK4riSQ_cl?K~=` zav2;Nevw)d%>q^cLq9Oh^9)*oa-_H0`0ebx#Bd+g;7_^I5$H+a(-DvhMQ5Kb@dTAl zfzuG21BVZ0wY>RT-(tJy*Z*9nmkhDv0*SJZpaT~D1DwStbdko<#u_6+?p!l&EY+*y zoyFzitI@;6_7Y2USk~KAc`7+bOn55mG@s7#3TAzSWxm%CqRS>`iunbquBE}D%f2c5 z-fgHEmOm#XcSK^Ohr!ZrN|X77Ns*HR{XO!~AYp8CoeenF8>2rxSDX`X=FXlun)dJ` zx=)(+K0GYD`b=x)8)*i=%iGxlxIRVMJQwPAC;I{>^)%e&o9}9Tj5fK$b$7Qn)JMHb z_Yq4Bun_Jt=88*Nigjs(9~5+J8c_Qan`a%^-nGXPo?c&eUCVhi9<3z!W-ngb-y{i~qbQX5BUV^;2;46nwt3p0n>IvDZdSME za8T760%{kQ+c!83CZ;l?pH~NfIX8!U$%CQ54&O%MxW-Um>}kVhgX0{A;2)od6Pvy1 zJs@Ck*c0Xzj+`N+$p4I5#l93QbJ(Z{M-KLzFPl-ceqS_I0*}c#`%>b|hPa4sDY*h*Lg?ga4!F)aJJT!qmOX%XYUg`GY#Fu*r)_A?Y#9a$Y2xpm%i&tUcsIq$UH zC;v~>bw=LHZzJ=UE>yL3SmFspz*bmDnHSdga~qfZL>8;M7=*btXrXcx+XCn!OqxEA z?RB{SFvVGAc9YUxW0QQlO|Z`yg-OALj#SyaVx=VJd(5vxA#sbpH~)RtruVNSyX z1Ag&+5dKR}*b6J~_b{QLb~~ZpND4FzF4X^?*apdQu>aSQZBP^kkKh}rRQOMLiu#x5 z{cn1+0Of4%;_}Vj()lmk`){MroJ26_grID^_aF|=e<9Mpmm~>63b>?b|9=PK-UPmc zpbAdLe=zClTeFoA^vKEZPt%@@2iROQ@&Nt-wA4!@R zM9f3L``1_h38(&I&;LuAH2()d|I0MUj)%w!jUEb0;fuN`ql}^?^Z)kaKb-pSe*EWK neD{C$-)ezxhw4oM_Aci3F8_KP@Cbk9SZ~?<4SwhH|84z0GQ3w> delta 10322 zcmZvC1yo&2uP{*Dy|}cv6_?^#&%fk8({$HvAcCMKq!pkQEN;Nalk=jRs@5s{ad zS5s5d*Vi{THnz65c5-s^^z;l44vvnF27|%L$w}$y={Y$$rKP3S)zz)7t-ZayBO@b| zlaq^!i|gy_dwYB5=jTsPPcL0PKR*LOb&@Z=qP59Lh^l$cAL)m==e1$?ajK`H%li-A z3vc1^-tyQQ%grG0QeLZxLoplPFGAK-&X)&=^S!Vtor;n}tt28V=0qn!YX3 zQ;QoP+~_hXrbFkOqrTwN#+7{Ce|EO@t8)OdKDbRiCN9a*kvStbw)?l6z1*u z`8GF&q|jFwKheUcSCO zCY2gJDw#6xp%*Spa}ii-p}_87);C;U8$C23(-4mti8Mw)Nmv z3wFdo%A;Dyu5l8(*u?506e>ndTh#_o+v< zI15Has~q+8J{mTPV`+AIajA~2Q=r%^1?`P z0a=0$nu4#7GuMb>M;SB2lKU~Z+4fCU1i`VD23wH@_)(4o3x?*)n8rYHJa#d+vc;}o zcClKxT84UIJ+O7pX*ydnCl(M*7~fJc$Uf0gscW9U{s~8&rNyys2rj9*wYQwsaT0{# zFv3~G7DSK>XA^?|lQzLf$u8F_v_(ifdkPyo{liWu&0?$%RR$e5F^-cU3BI;vQKH0z zJ6VQPHb;3W!=P4hB)+n&fvKUZY8vr|#wM!{`FDcIlvR7@GJmAl7V3n3A{ka1l~D_B zBgw}AOyKNUH*ZuYswitIy*&lL?KQEsDl<+>zmtyX+f)X58rV%DE?x9!7cH!O*3>M< z!0Mq&(II3asxo(@a#d^fN@R1JR96ST%!KS#tl%g`D=EW5ZE=r%sh_B^<^_ZW2{0Vi z@}Kms4uV}vLDM>1wfj=$^`srIIYMvjOba>LLV&kf2_+ZNTthyga%_725%BGigq2Oa zU<+G_t+53>^Fl-A{Zaj=-u{w(AwPrn6``cUw)?4B0;)3xk!&ZBN$nk~@99iUhXUa$ zJTd*ACaaV*5#!S`*-katHCGgQDd2un0k)49`3+o8Q_Cx(lU^kDwwu1A&yz#GEAP_i zQ~|UYUtvO?H1~%?8CxHg{k)$HtPYRm%)`@PqWh@$)eUxd7!F$X2$bK#M1CXXO&l?+ z{C!7QM?*KYS}dAho}wSbRAV9+QjpaVj^#(x9FEBZma1b2GpQ5up{C?qS_cZEM6<6&| z5=e3Kn&a2C;{>Q+mSM|*YXi|Ek;|k2!DgtS3|G|(&pv`Di7Ts4Jre8LLRfWZNk-j9 zShY-7W?!+}_2?X^&3a5F>M^Y{ifh$Qb=#mM7v`Zf=v;czvp5F#ejju`BXBw7DFPZ= zw8#5EERk)MboM#Mw~o*Zx)nX7VpZHoJk> z!e&tZe8uwtlN^Ff;qKMbQqgQ?uN!|gJ+nh&o0h%IqZ4R8DNRy1H@rQmZ#%a$KQjuM z?f1dFXe)-bEa+*mj3i)>CxlyA;sfg2`ww;5f_Rxd*stOlD`8E7$GhuHl&?3C7>IPc#OE-<{pHMDRs!j7|TW%*l1ewiE zBQ((Tw_v}E`utOvGVoy?EcIWmd*dI!)kkK3gX zw&hGQ+_D^1(?mA^V7~tzT=WA(8j>_+aTfpPOGioQ!GyL~sl>J(CjnEzzjvPXc{lObj+DjFCnj#>7m4J{xyU(me3bwRc<{Ksflgxzxff=(Dm*0!$URJ3$<8DWi87oiu`q!hb_k@^BZKaqjwDGqDkR>q&!^~KFz?p>u}?w$h(nn?(cj_K zhOcmG9SBVbGR-tJ+y+c~6ZRP`M76}e>s?)`jsq0_2^?B%uX|wkk?+)hMit*mr z375GVw##$iq)u@pV-@gC?dKI(u9j(sj(I*dS9zHo{`^tiyQ|g;wX(>3V*pW@W9Bu3 z6N0QR`8{M|_}Lj6wjLbte~hd8huINc7-4nVW!R4GuC_nY^Y6DG5O zA{bFE`m_$_r^|`fD3c*ujBK{`5)&rp0=?nU2@)GxwnIw#r$_^Cw4HcYk~&n6gIpZR zYo!P<=$^xNeE?C?NSh9dnQ1hswMc7Yr&D{~=4J9;4xWztOizP8h&It(H_4Fa{M3)& zcMIGDrmfnA@DwwzRh1sPfWzo(ja5T%2_N4a@`EuRTl}Nzwa#-%N5>FrTqib1!knh5 z-^B}Mx+%W<cnU|M`JBA;`@lIdiLX}9oPzJ1R< zqY(S6(q$XJ)+J$@Q(O7Dm?+*F-DK*?d zP03ix2Ro^J{!(3$q9o&M38A!|&m^k)cy#=D7G9wcwk4PwwZ>I9zXs_9a?|Kyw`JS) zie$9lucn;f?()%1ORu}-$2-fz1KLR$fZnNQGu#Uk_K(@rQ^85~-c%IgVrMCa>aCXQ%tiB(*T|}vNT0yBkZ+UIB zwk?Cv`g&EdPKxM_bod=~t&%>RFiP^0)%LFsiW$DX=>Zp4SAx?*)%`jnA3K2hWPm>~ z9P>(i5+gZef1kXYDJwk~o)*QP7NgYmul_eFx3~F%?&FndQN9--i2iZd|2b1g&6Pz%48|EZZ*lK?d0DgY29kef4_B)Kw>b}EfFt7Pw@geb;tOT*lAHJy)YP% z|1#mbFD6`Nn@WjaTWL@r9P=Nu-sjl+=4Ng*GWv}XehXaJ%S@h=voahUMQ7n|l7B1* zvKFD=GHuqzjy|!Cn5^gH@@un83m`)M;btj$(e9xTFslbEQ|@610#|ez0xw@8tatdg zEFkTE+#w(=tNpmS`LE&U`W08gSkPoa{?Y;~%m2R%G!cJ(y0iHIe%tk+euzNK zJlt%iLhVQ93C9u3wbU-d$d{EA=j7kC_Bs4sbjB7_bjBs5xZ%BngB#f>Bzi_WeRonW z`Xl`gXw=X&Rv_J;e6~4Z*un82DbCUZ#W(kY+Dg*{n_jeUb9?R>PD?!|hJjHQK@KTg zHHr_@8Mzd@F9lvUTIG5tCXjkm631H#FahBQQY=fo#r z$1b*Pq=}NbQe+??)SCmxIRCQbLz4lmMz>Qj>Xh4-iHRk{-=LozOai}GkqG+Z(`^&- zYTTiE3ejn5UF%O#Sh(`i@t;HXww&wZ^=uIssSQ)4W>RhW%MCj}HDJd+keWann56|x9ZA=_ z$Vb=-7$X{%7ZyOg`7NXPGMkK8Ma1_3+k-HZz2UHA#0qU8#&(2T%#Y-SVrb{2?mYr% zI#pR}w*1|OFqsfl^{U8-Ee}$gItwc@1ju zpN=BQJ*Sj^JBB70Catq+8PSn3{#GUEJN|e{<5{=+cV2Uz_zkN*-%`j$#g6VK&^Zy? zXfW6~kQBdWDGTe&ywbZK@xHnl(PhZ}qpK0jLg^S!ogs1YfQWBJCybA{*9=b2F3p=- z)QjEQ?=yG!>21^178(|FSdFt(9a8qnl*O79r>_c@m2z?Se_`zsGADa-4W3#YXDaQ(0B>|uEtCcbiF*2g$-Tz+KYT&Gv>1Pjs@Z^43)se;o3+R-RC z?Pz}oSJMUyQXV3$Ju4t;$80PW+IRCVhYii{-Yx4MrtjNH>3alfZC{&60##ch1m0PG zXM55jk6Q~=pPoYewhbjlLkzbo$byp)iKHdxD5mewMbrKI4b}CHoVIY2G8!e0 zS(rpK$3%uZVhvd%;(6Gl1fci5P!*4o0y^;|K7E7^Yy^|^Gr{*M`}r%!g8h#o9s>R! zx?Me8ipj5ie9qAY^l#fL!QY8dMk)%*r3k2R_!i`VBNa{#LZ{zB*vvm!a(1X3?W$K8ZuDt6tAH`cXIL3*Y^FX)F9ys|ZYq zR#>M$R*BG04&Vs#M>b4As)OYM}+-T0w6I=S5HdKKNoh zQn99x#=)06cjfT6x9C-#mWD<4*%yNf`S735n+J^ z2ytJ%#OJ^cM3mqa0aUO*A>v=HS35|M2nr!L|6r0?>a|#4?Zpx-lCKcz!2UtR&eO^qdV$qmZSPY-uG5kp|rnDv=c! z?Ob~|YGsPOGZ5e_v&7_0l~UuDvk4Acs`tEiy*b<9D)Ja5ZKo6?Jrs_u1thNEKHfbyH=jJ0nmhU2&lUKT4R693tiJyQPz7>0qP3sNCkZAmDX!zU=wrARR z&a+6XsG`1Fq(Tvn#j? zWOnM-Y2XrN!4CTM*)I=JjB6n?DP$5-=0O=;@sg9smp5gifx@4l7LZSGJCGZc4((NYL*sw>znMe6|zQd2rOJgc-EMHZb2xl z3CEG{v{2(;ATv!D!$ZsMCHs*fUvwdJ$*1bAspI>BBviVvMO_D2V%KM^=e<6U>O0uO zF%TDb3_Ra^gB_X;hh_mT=B)r7{iuAtxN6k24H9rMoa58icJUbk0gi-kXmU>F9cf|V zlB*|K89$wE)pE&wvrpc^mkXnlFRlD+zoW7BF$yUOt0TOg2jR$%cWl~ONI>C-thOMt zeYPTPu0jjdt?LQUn^ivXXdTknK{_a6hI&axu^#?r0xgXh-D`k-w%5{?@WeWW3_W;R z)S>2XLUZT{-V~CTj+%bSt7WD`eoWlsophjpNxD$BK^BAGE?LrbzJ2{W=`-C#Ps1Qj zsAqmuD=V=uZHH(+oNJtrmJ_+CZVLXmomunctz7=u-i9)8slEE5C_%h5J48t<`GGr4 zSE4T0F^qkHS1#~l2 z&N$M9u?+aa%%Au)?Cu&`@pyG*?!6Y{FP(v}8@tI9_6g$;wz|Bk$6RodB>YGoPkDmv z`n-KSDJ%~Ff?ki!m|BGj_Q^Do4_~s z4^HFk_ev-?@1=tI(oq@Pw6M+mW)j2SSuf`hyx(K1>~OJL6C5FTEWGd!x#9RYR1KN` z;cmOQD5%kGQ5SOL;KwmlW}Mb&(KrVYr?$%vcZ3B_zWATfYO5)K^=uOT>DA4HqF-G? za+LT64PoRAq&duOZWdYU&qA@zmmO`zz0jpEGVb!RpJNH$!D1Us^=bD^3k{2zbw)Ze zB>DM5J^t}i>)nOs@jzS!b%T=EWon9Ml$iBGPJpdn58}pC$ndDT?!}G9Ij8&QzC`u! zJ77rwyKMt_%N@h>{(FWZzRcnZFNy}KXk+Y|R<`GWynf?%s`W*>2h7f{cUI;O`tIf7 zZNGMUjrg~T?$c-FG)*_H4^4$7vl7`1eAH-2G$F1T^;ODk)a$V}TWz!j0^wp7Udg?Y zprqW7Uys5GgKcG+nuVr&cC4<9jm_8YmRH~aJBe=xvw7r&Yk;>1thTah(pOhj5>k-W zA}N-o!o(;X%nqer3+0z*eN!!6K5u{JxwL9b45K)Axsj#~HZsYz4?1@%%;rD$-zG*( z7Omr$RNm^^f8ow*@9mkqGrlD4Lr@+xcFYa1jH}l#e~KghA$hWgQm+ z_#KgueLJojYg}(7r3nL}5wA15kdl+8yk){3XRiAl!K=<(BmQrascV3+xrlufA|_6jEKHUy>w&(F)*1Cf}-l1_VjeT zLkhGrZYp0Nhm|c9*yk!aEpa@nSu05bB9|}3DnB09wHaO3wRt|&$%bbK{=jX{y+Q)D zi$TBtD2rfb*+C}~SOG@|r;B38{MwOOk`~Dg5!6y#Zw2jyy|OqOIn$sbhWoCS!KThp zZoR2K-zhq`o;+zS+h@{%A&n_1QX$iSxP8kxz)KHlZube-|+~4?Zu?`;;!M0VSwLzocesKs|qGvnhb_aK)( zE9K=*;12DxVhVE#^O-m1^2@-C`n{IDwa&_n#Dj)sCmAS9%5g!%NXG*6bVKBUg4JG# zwSC#UK$9upBR2vzB6hO$>XpF{ik`Id9X_Pq}BfQ24HDlpm)o)!LHg@69{&=q#3k&fkj!6Rpp0i6b>MT!R6*A(n z-atffK{o-vrz?KLzs{^wf~op_*s=uD{%ca4?8LEnNCN@{?BWLL zM1a@$Pd01`6-^?UF1GOi*Lv^P559Z*#P2KS+2eQ;>{Y1R_kcdDkFJ z?`{a*a+m`uPS+wxMuX;B#}*F;Zj>cT4{;A zb^ue=p|HWSdKbL~_RsRBFtocQ9azD$>n)kL$DX>1wHZ^$XVkRZ3ddH8MP62^>zcCf zQJzUf3Cdy7O5HG77MpmcRp=L#f^F`LL!ql;(P@+#;U zGD)DYBHa&e`SNtM6u-}Yjg=U8QbK?*Tmn4&bB4KEmPVJ2%W)sDl+{Zm(NSyQt}HDv zK&LF0YJpd0SR%`YWCCUaUp}1mw5J^7_n!Ceq^SnpzfPyk8I4m-yw~Am^)+lua8e6+ z3yBZCk?8MoNz{8A`azCU>||ixd4Y*nKTj6P@wX$GMPcNT-prPE`NF}GZxH|PaspsF zDNB^MaL*{Hb$zPCd$EIe^mbWEVzlq#9gkeBlh1b%kZL&5--vGyueq`sa#$}7a7_*M z((p53*$U3MDlbhs-)&vDbb8(y6e_;ETQP_-9$nJ&JGM}9;b~noz(^WhI^65MIV*rC zLo+)@Eom+bIN%z|c)Dc2|5kLM;046ACSdA?-~@%GQS!t{77rsE5tVLwDKt7i zQT&zfW|KLem^xKTVZXfYvEemN9qNZtEg>+PPj+e%94Ub*tye`B?>%jeDDYR^cPfP` zp5~qE@_XkCTR4iFpO^_CP6N!v+E4VpJhbaJI=cq*aMQ!n5*P*vL2=jD7o9SD1f8YB zPr(dB6NNB@(a7qG;&yUPTX~=Kdo#QePafSasntWIa|KZbZKDj<{N)EVnOn)u2O0L) zW*CFnkL>&LqI3dqkJhTH`x{P-iO0n%*3kkOaFz|yU9U@Aa8`UZ;{Ym5n-|z$M!6zf zWssm^pp*4=HqR zs$ox%r^}C8(-H2zF|;%F@m^4UhE}anrJ>#4gK&{sEbn@8vM@Kk!y9@(poIm{HFmPy zG`m-@Av&HHoO2ijxXRbeT00Ek=|7@Rog|>2Bc0DxI(ok2Li@cB_l<)559kl~2TgJc z6w{LLOU5susHa)7zR0TNkPZnc{mOc#Y(qpgniA^O(WS}SP`+t)<-MaZezDv6xHi;F zjYHk&wtIC*st;!=dyen=$~CXEg_2M82&B%TtZl0>25{-)tDNzBsviM@$ZoUGP7Lgy z;L1)zp7+`m@zl1IGi~d|%D?-IimLG;eKnv=8s8i7#~U{oL~(D&+_Lo4!kkhanycWH zIZ=GZZ3}#&xBSfNyH&ny7Ux{OPom7?yVc0tT^5I$*oS9coz62xYgRp?DLY{FDR&}| zCbml@8bD24(*2F64a=r5UoTGhhFu#iq2B&8ox+Lk$41H>%Og699oo%@!y6w1$U_vv zQzD_W-*uWQ!}bHL0(23}j+%I8&chV5`h)}n0&-1vW*w$k7^E>G@J3z#npW-G*TZVO z!C5$olJdGEJA&8*{F-qKmJm*-XSg`hp#?)hLZGwKHcj22z9Sw;^;(p_B?nakuXrvtoI9?fXzJmLb|g;(X4_Vmr9HIRzxGZ0Pp`CHJwZdbFH@8% zYE#9S^rgF-BB?j?UzXz0#t!&gHKBUHck=EB?7Epu^j%(7KX23-p)H}6tHOGJC-9$D zwajyM5^+}^V3|h<*Wb|&tSf~2#ve|~r=S?C=yrOm)+^l*y8J4T&aGNea+sGpJ79oO zh6nN6V>fk!@O74*oxR{D$Cqx)hm)P>SAQI8!zh&Jq?gncbtCA19O}QLh_SWmBw89_@p+zt7hX$D4VR#7Cw)tX*{pG>*JG zW|;&m(nu#;Ln7x!4zm|BsS^tX8_(}1KHcm!#&3Lk~7=SE_mvy8n+Y-n9)sj z@VpZH+19E~Nxx%-XI)nMo(Uu$O2|{K)KO3DaehOwNAB#@#>{DBSE1~5&CJk|SbXzp znsF`ryOpzFWb`{$?!)V=;-#nO3jDukHORs}MurRpMK1S0VS;~V1uml@0@Q{b7FaRc zelwyrXnxK&8^$(fq{GG)q>_f_mvn%ZtR$)Dq*qc)fAB3yI>3dWhaXU`t>x(6QYnkv zX-^dluxH4d2@i#Zh@iY>Y}d_eJxZdGe0>|1KtC)C1(vGw9%Gpe+Td6zCFYKwF0M}{ zTvkJy@WDWH2}~inocy6M2bA*=#agQGW!YZt>OokANYK|P(W94)Q_TsF%W%{q-c~tO z>}#tSDUI=QCWqoGF0!n3hVC~NeT`!KkoQF>`1t6J*tX|Q<}5#B!Fvy=(dciA1Ed(4 z47@%PwP!c9uVR#h{2J3>Jb;;~Haz+U)eROJV2AUMXVP-=7 z#sZVm4^V5TV&hR0xtqXXAnq`eHq8aEd94^F!Av(s6` zuyNQ!`HyZQ2NJM|F)Jz-hY2=5m*Kmf{ry;99uP$X7q&a?%_U3wX;e= z|K5|UB)S1&4iug$ms1%#^-r=pvGlqMxURgdgq>0RZXCgVRm=QBza1d}^q&)R9G&98 z0|Nz>0{0)fRZB!~3ylU1gA4V)31Z+Hevbdh5CdQE^HTgt8vA!B3-x~@SAXG4bg-NN z(O-e3Y5`)HTO6>z5I$Ih5b-~R{~@yBf*}HQe+m4z4Dx>$psaDhsf2I;gS$kC7^V;x zJVQwFAA}e|VwhE2FcuNXe<9%GfzJhL{&#F3Jg_ej*?(yljQ29=zuW!ks09yvKt#dt z_u~9>7Uk=HTaCj(LqP?-LCu&fMj{@%{h(W&A78?_Z4CtWZ$)=B~#7g$